XX公司

Similar documents
% % 10% %-30% EPS PE 请务必


Microsoft Word _ doc

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

Microsoft Word _ doc

公司报告 均胜电子 (600699) 强强联手, 进军全球 汽车和汽车零部件 2018 年 08 月 23 日 公司半年报点评 证券研究报告 推荐 ( 维持 ) 现价 :22.56 元 主要数据 行业 公司网址 汽车和汽车零部件 大股东均胜集团有限公司 /33.69% 实

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

大16开产品画册排版.cdr

公司报告 大冶特钢 (000708) Q4 业绩逆市增长, 高分红 + 资产并购值得关注 钢铁 2019 年 02 月 28 日 公司年报点评 证券研究报告 推荐 ( 维持 ) 现价 :11.23 元 主要数据 行业 公司网址 钢铁 大股东湖北新冶钢有限公司

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

PowerPoint 演示文稿

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

东吴证券研究所

投行业务快速拓展, 国际化平台有所突破 :216 年公司股权融资 债权融资主承销金额和幵购交易总金额继续排名市场前列, 其中企业债承销额为 亿元, 位居行业首位 同时公司通过收购整合海通国际 海通银行等, 建立了业内领先的国际业务平台 海通国际已经确立了香港中资证券机构的龙头地位, 其

公司报告 招商蛇口 (001979) 业绩抢眼, 销售强劲 地产 2018 年 10 月 23 日 公司季报点评 证券研究报告 强烈推荐 ( 维持 ) 现价 :17.98 元 主要数据 行业 公司网址 地产 大股东 / 持股招商局集团 /66.10% 实际控制人 国

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

投资建议 : 维持原有盈利预测, 预计公司 年 EPS 分别为 1.85 元和 2.13 元, 当前股价对应 PE 分别为 6.1 倍和 5.3 倍 公司 217 年以来拿地开工积极, 提速収展意图明显 ; 当前市值对应 217 年销售仅.36 倍, 当前股价对应股息率 4.8%,

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

日本学刊 年第 期!!

行业研究报告_无重点公司

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

Microsoft Word _ doc

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电感-中文单页

EPS/ PE A 2013A 2014E 2015E 2012A 2013A 2014E 2015E SH A SZ

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

Microsoft Word _ doc

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

国产半导体设备领跑者, 进口替代空间广阔 北方华创 ( SZ) 核心观点 国产半导体设备领跑者, 在手订单充足 北方华创是国产半导体设备制造商领跑者, 现拥有半导体装备 真空装备 新 能源锂电装备及精密电子元器件四个板块业务 2019H1 公司实现营业收入 亿元, 同比上升

广发报告

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

Microsoft Word _ docx

Microsoft Word _ docx

公司研究报告


Microsoft Word _ docx

期内完成对招商漳州的股权收购, 进一步增加园区资源储备 ; 招商漳州及其控股子公司拥有产权尚 待实现收益的土地面积为 11,662 亩 ( 其中双鱼岛 3,376 亩 ) 通过招商漳州与公司在厦门 漳州已 有布局的战略协同, 未来有望分享漳厦同城政策区位优势, 提前锁定海西板块未来发展红利 融资优势

PowerPoint 演示文稿

公司报告 中国人寿 (601628) 坚定转型路线期待 19 年发展 保险 2019 年 04 月 01 日 公司年报点评 证券研究报告 推荐 ( 维持 ) 现价 :28.82 元 主要数据 行业 公司网址 保险 大股东 / 持股中国人寿 ( 集团 )/68.

untitled

Microsoft Word _ docx

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7


年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需

Contents

Microsoft Word _ docx

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

<4D F736F F D D36A1A2B1B1BEA9CAD0BDF0B6C5C2C9CAA6CAC2CEF1CBF9B9D8D3DAB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1B2A2D4DAB4B4D2B5B0E5C9CFCAD0D6AEB2B9B3E4B7A8C2C9D2E2BCFBCAE9A3A8CEE5A3A92E646F63>

Management2.0: Competitive Advantage through Business Model Design and Innovation

Microsoft Word _ docx

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

行业报告

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!"#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+, " $ 2 * ' /+ / / / (+ 5 (/(

xlong.cdr


目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7

Slide 1

中国与欧洲关系 年

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :


投资高企 把握3G投资主题

!

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options)

2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年

目录 1 集成电路国产化迫在眉睫, 装备需求大周期来临 自给能力严重不足, 缺芯之痛 亟待解决 国家意志推动, 国内芯片产能将大幅提升 产能扩张带动设备投资需求提升, 国产设备商迎来发展契机 进口替代遵循 先易后难 路径, 测试设备

untitled

东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段...

对利益冲突问题及其危害性有比较清晰的认识 坚持政企分开原则 禁商为主旋律 适用对象的范围逐渐扩大

Microsoft Word _ doc

01

Microsoft Word _ docx

风险提示 :1 宏观经济疲软的风险: 经济增速下滑, 消费升级不达预期, 导致消费端增速放缓 ;2 重大食品安全事件的风险 : 消费者对食品安全问题尤为敏感, 若发生重大食品安全事故, 短期内消费者对品牌信心降至冰点且信心重塑需要很长一段时间 ;3 原料价格上涨风险: 产品主要原料猪肉和鸡肉的价格波

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

资管业务向主动管理转型, 子公司对业务贡献显著提升 : 资管业务方面, 公司主动压缩通道业务觃模, 向主动管理转型, 截至 2017 年年末, 公司资管总觃模为 3222 亿元, 同比大幅下降 61.79%, 主要为定向资管觃模的大幅下降 公司持续通过各类子公司布局直投 融资租赁等业务,2017 年

公司报告 新股报告 安集科技 (688019) 破浪而行, 成就国内行业领先者 行业 发行价格 合理估值 证券研究报告 2019 年 07 月 05 日计算机 / 计算机设备元元 1. 安集科技 : 一家集研发 生产 销售为一体的高新技术企业 主营业务为关键半导体材料的研发和产业化 公司产品包括不同


点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头,

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5B7E2B2E2D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

2 年!"#$! %&' "#($(# )*! " # + #$ +,--+(+ %&./&- 0$ "(*1#+$($ (*$ $$ % ((!"!"#1 $ *1# +( *!( ( +$$(+$1#1 $ %&1& "# %& ( %&21&3 * $ #( +$$( " # ( $ ( #


图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

PowerPoint 演示文稿

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%,

材料导报 研究篇 年 月 下 第 卷第 期 种球的制备 单步溶胀法制备分子印迹聚合物微球 洗脱处理 种子溶胀聚合机理 种球用量的影响

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13

Microsoft Word _ doc

洛科威工业保温岩棉

山西汾酒.公司半年报点评 估值回归合理区间, 维持 推荐 评级 考虑行业竞争加剧, 我们下调 19 年 EPS 预期值约 9% 至 2.05 元, 预计 年 EPS 为 元, 同比增约 57% 2, 动态 PE 约 倍 股价回调后, 公司 18 年 PE

北京市人民政府关于 2013年度北京市科学技术奖励的决定 各区 县人民政府 市政府各委 办 局 各市属机构 为深入贯彻落实党的十八大和十八届三中全会精神 加快健全技术创新市场导向机 制 市政府决定 对在发展首都科技事业 促进首都经济社会发展中取得突出成绩的科 技人员和组织予以奖励 根据 北京市科学技

01

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63>

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074>

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

Sector ― Subsector

幻灯片 1

Transcription:

行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑, 工业控制的核心 2018-06-01 行业专题报告 ( 一 )* 机械 * 工业机器人产业链大起底, 国产品牌于大浪潮中崛起 2018-03-20 证券分析师 胡小禹 研究助理 吴文成 沪深 300-40% Sep-17 Dec-17 Mar-18 Jun-18 投资咨询资格编号 S1060518090003 021-38643531 HUXIAOYU298@PINGAN.COM.CN 一般从业资格编号 S1060117080013 021-20667267 WUWENCHENG128@PINGAN.COM.CN 请通过合法途径获取本公司研究报 告, 如经由未经许可的渠道获得研 究报告, 请慎重使用并注意阅读研 究报告尾页的声明内容 机械 十数年缺芯之痛, 巨大缺口亟待填补 : 半导体产品分为集成电路 / 分立器件 / 光电器件 / 传感器, 其生产包含设计 制造 封测三大流程 目前我国封测能力较强, 设计和制造环节经过数十年的追赶, 涌现出了像中芯国际等优秀企业, 但进一步的成长仍受到装备水平和材料工艺的制约 半导体产品中, 集成电路 (IC) 占比超过 80%, 是最主要的细分品类, 其广泛应用于信息 通信 计算机等领域, 下游需求庞大 (2017 年大陆需求超过 1000 亿美元 ), 但大陆供应能力不足 (2017 年大陆自制率仅为 29%), 供需格局存在巨大缺口 缺芯之痛 不仅痛在经济利益流失, 也痛在对国家安全的担忧 国之重器, 大基金第二期再出手 :2014 年, 工信部颁布 国家集成电路产业发展推进纲要, 并提出设立集成电路产业投资基金 大基金通过兼并收购和股权支持等系列动作, 深刻改变了国内行业生态 大基金一期共募集资金 1400 亿元, 目前二期正在募集, 预计再有超过千亿的资金进入集成电路产业 大陆迎来晶圆厂投建高潮 : 随着大陆成为全球电子制造中心, 半导体市场需求庞大, 加之产业政策支持, 全球半导体产业正加速向大陆转移 目前大陆代工厂 ( 中芯 华力微电子 ) 和存储器企业 ( 长江存储 合肥长鑫 福建晋华 ) 正加紧研发先进工艺, 带动国内先进晶圆厂投资 2017-2020 年, 全球共有 62 座晶圆厂投建, 其中 26 座将在中国大陆 (SEMI) 大陆半导体设备市场庞大 增长显著, 但国内设备企业自制率低于 20% 我们认为, 随着全球半导体产能向大陆转移, 叠加国产设备自制率提升, 为国产半导体设备带来了历史性的机遇 半导体设备进口替代空间广阔 由易到难 : 据我们统计, 目前我国在建的 8 寸和 12 寸晶圆厂分别有 5 座和 16 座, 近几年设备投资金额预计超过 630 亿美元 2018 年大陆半导体设备市场将达到 118.1 亿美元, 同比增长 43.5%, 显著高于全球 10.8% 的增速 集成电路制造设备分为晶圆加工设备和辅助设备 晶圆加工设备中, 光刻机 / 刻蚀机 /PVD 设备 /CVD 设备 / 量测设备 / 离子注入机 /CMP 设备 / 扩散设备占比分别为 30%/20%/15%/10%/10%/5%/5%/5%(Global Foundries) 我国晶圆加工设备中仅有介质刻蚀机成功进入了国际一流 IC 制造厂的最先进工艺线, 部分设备 ( 氧化炉 硅 / 金属刻蚀机 光刻机 PVD PECVD 部分 CMP 设备 ) 已经小批量销售, 部分设备 ( 离子注入机 ) 还在验证阶段 晶圆加工设备难度大, 其中以光刻机 刻蚀机难度最高, 国内企业还需加大研发投入 提高设备水平 辅助设备技术难度略低于晶圆加工设备, 国内检测设备和清洗设备已经率先突破, 在获得国内品牌认可之后, 有望抢占更多的全球份额, 诞生出大体量的设备公司 投资建议 : 全球半导体产能正在向中国大规模转移, 未来几年其势更盛, 中国将成为下一个半导体生产中心, 巨大的需求为国产设备带来机遇和挑 请务必阅读正文后免责条款

战 我们认为 :1. 技术难度不是最高的设备已率先突破, 如清洗设备 后道检测设备均有所突破, 有望率先受益, 建议关注长川科技 至纯科技, 盛美半导体 2. 晶圆加工设备技术难度高, 但是在国家大力支持以及企业持续不断的研发投入下, 具备研发实力的公司一旦突破核心技术, 有望享受到巨大市场红利, 推荐北方华创, 建议关注非上市公司中微半导体 风险提示 :1) 国内晶圆厂投资不及预期 未来几年, 大陆将有 20 余座晶圆厂建设, 将带动半导体设备需求增长 如果晶圆厂投资落地数量或进度不及预期, 则设备需求增速或放缓, 半导体设备公司业绩增长可能不达预期 2) 国内设备技术进步不及预期 半导体设备行业门槛高, 技术难度大, 如果国产设备企业技术研发不足或技术突破不及预期, 将严重影响到国产设备的进口替代的节奏 3) 竞争加剧的风险 半导体设备行业高度垄断, 随着大陆市场的快速成长, 外资巨头加大对大陆市场的重视程度, 大陆半导体市场竞争可能加剧, 影响到国内相关公司的发展 4) 国内先进工艺研发不及预期 我国半导体设备市场主要依赖国产晶圆厂的投建和扩建, 如果国内先进工艺 ( 包括代工厂和存储器工艺 ) 的研发不及预期, 将会影响到部分晶圆厂投扩建节奏, 进而影响到设备招标采购节奏 股票名称 股票代码 股票价格 EPS P/E 2018-09-27 2017A 2018E 2019E 2020E 2017A 2018E 2019E 2020E 评级 北方华创 002371 47.60 0.27 0.50 0.78 1.13 176.29 95.2 61.03 42.12 推荐 至纯科技 603690 21.14 0.23 0.42 0.65 0.92 91.91 45.08 32.52 22.98 未评级 长川科技 300604 39.79 0.34 0.53 0.82 1.18 117.03 75.08 48.52 33.72 未评级 未评级公司按照 wind 一致预期 请务必阅读正文后免责条款 2 / 43

正文目录 一 十数年 缺芯之痛, 巨大缺口亟待填补... 6 1.1 国内每年对半导体产品的需求达数千亿... 6 1.2 大基金入股改变国内集成电路行业生态... 9 二 全球产能大转移, 大陆迎来晶圆厂投建大潮... 12 2.1 国内代工厂和存储器厂加紧研发先进工艺... 12 2.2 全球半导体产能向大陆转移,8 英寸和 12 英寸晶圆厂迎来建厂高峰... 15 2.3 大陆半导体设备市场增速领跑全球... 19 三 进口替代 由易到难 : 大陆半导体设备商砥砺前行... 21 3.1 02 专项 支持下, 国内集成电路装备企业逐步追赶... 21 3.2 晶圆加工设备技术难度高, 打铁还需自身硬... 24 3.3 检测和清洗设备率先突破, 内资企业大有机会... 35 四 投资建议... 40 五 风险提示... 42 请务必阅读正文后免责条款 3 / 43

图表目录 图表 1 半导体产品分类介绍... 6 图表 2 全球半导体销售额及增速... 6 图表 3 全球半导体构成 (2017 年 )... 6 图表 4 半导体产业链... 7 图表 5 全球半导体销售额区域分布 (2017Q4)... 8 图表 6 我国集成电路及设计业产值及增速... 8 图表 7 我国集成电路产业连年逆差... 8 图表 8 集成电路进口额超越原油和汽车... 8 图表 9 近年来集成电路产业不断受到政策支持... 9 图表 10 大基金发展历史... 10 图表 11 大基金股东方及出资金额... 10 图表 12 大基金主要投资方向和相关公司... 11 图表 13 2016 年全球代工厂销售额及市场份额... 12 图表 14 2016 年中国最大的十家集成电路制造商... 13 图表 15 国内外领先的 IDM 和代工厂先进制程进展情况... 13 图表 16 国内外存储器领先公司对比... 14 图表 17 全球半导体产业区域转移发展历程... 15 图表 18 我国已有的 8 寸晶圆厂... 16 图表 19 我国已有的 12 寸晶圆厂... 17 图表 20 我国在建的 8 寸晶圆厂... 17 图表 21 我国在建的 12 寸晶圆厂... 17 图表 22 全球半导体设备市场规模及增速... 19 图表 23 中国半导体设备市场规模及增速... 19 图表 24 2017 年大陆半导体设备市场位居全球第三... 19 图表 25 预计 2018 年大陆半导体设备市场跃居全球第二... 19 图表 26 2017 年全球半导体设备十强 ( 按照销售收入排名 )... 20 图表 27 国产半导体设备比重一直低于 20%... 21 图表 28 2017 年我国半导体设备五强单位... 21 图表 29 半导体产业链环节及核心装备... 22 图表 30 芯片制造流程... 22 图表 31 全球半导体设备构成情况... 23 图表 32 晶圆加工设备投资占比拆分... 23 图表 33 02 专项对半导体装备的规划... 23 请务必阅读正文后免责条款 4 / 43

图表 34 半导体核心设备上市公司一览... 24 图表 35 三类高温炉展示... 25 图表 36 传统立式炉与 RTP 对比... 25 图表 37 氧化 / 扩散炉市场竞争格局... 26 图表 38 光刻工艺的 8 个步骤... 27 图表 39 ASML 光刻机实图及示意图... 27 图表 40 2017 年 ASML 占据全球出货量的 67%... 28 图表 41 2011-2017 年 ASML 是全球高端光刻机绝对领导者... 28 图表 42 上海微电子第 100 台高端光刻机交付产线... 28 图表 43 三种刻蚀设备展示... 29 图表 44 刻蚀设备市场竞争格局... 29 图表 45 离子注入机示意图... 30 图表 46 离子注入机国内外主要竞争者... 31 图表 47 CVD 传输与反应步骤... 31 图表 48 各种类型的 CVD 系统及其优缺点... 32 图表 49 我国 CVD 市场竞争格局... 32 图表 50 溅射 PVD 示意图... 33 图表 51 CMP 工艺及机台设备示意图... 34 图表 52 国内外 CMP 设备市场竞争格局... 34 图表 53 国内晶圆加工设备的领先企业及发展阶段... 34 图表 54 海外巨头研发投入远高于国内龙头... 35 图表 55 不同质量测量含义 测量方法及应用环节... 36 图表 56 半导体光学测量设备和缺陷检查设备... 37 图表 57 全球质量测量设备市场竞争格局... 37 图表 58 三类电学测试及所需设备... 38 图表 59 国内外电学检测设备主要竞争者... 38 图表 60 全球单片式清洗设备市场空间... 39 图表 61 国内外集成电路清洗设备竞争格局... 40 图表 62 国内检测设备和清洗设备的领先企业及发展阶段... 40 图表 63 半导体设备国内外主要代表... 41 请务必阅读正文后免责条款 5 / 43

一 十数年 缺芯之痛, 巨大缺口亟待填补 1.1 国内每年对半导体产品的需求达数千亿 半导体产品主要分为集成电路 分立器件 光电器件和传感器 光电器件指利用半导体光生伏特效应工作的光电池和半导体发光器等, 如光导管 光电池 光电二极管等 半导体传感器指利用半导体材料特性制成的传感器, 如光传感器 温度传感器 压力传感器等 分立器件指具有单一功能的电路元器件, 如二极管 晶体管 电阻 电容 电容等 集成电路指把基本电路元器件制作在晶片上然后封装起来, 形成具有一定功能的单元 集成电路进一步分为逻辑电路 ( 传递和处理离散信号, 实现数字信号的逻辑运算和操作的电路 ) 模拟电路 ( 处理和传递连续变化信号的电路 ) 微处理器( 大规模集成电路组成的中央处理器, 执行控制部件和算术逻辑部件的功能 ) 和存储器 ( 用于保存信息的记忆设备 ) 图表 1 半导体产品分类介绍 资料来源 :SEMI China, 平安证券研究所据全球半导体贸易统计组织数据,2017 年全球半导体销售额高达 4122 亿美元, 其中集成电路销售额 3432 亿美元, 占比 84%( 逻辑电路 / 存储器 / 微处理器 / 模拟电路分别为 25%/30%/16%/13%), 剩余的 16% 分别由光电器件 (8%) 分立器件(5%) 传感器(3%) 构成 集成电路是半导体最主要 也是技术难度最高的产品 图表 2 全球半导体销售额及增速图表 3 全球半导体构成 (2017 年 ) 5,000 4,000 3,000 2,000 1,000 0 销售额 ( 亿美元 ) 增速 (%) 4,634 4,122 3,358 3,352 3,389 2,916 3,056 25% 20% 15% 10% 5% 0% -5% 资料来源 : 全球半导体贸易统计组织, 平安证券研究所 资料来源 : 全球半导体贸易统计组织, 平安证券研究所 请务必阅读正文后免责条款 6 / 43

半导体生产主要分为设计 制造 封测三大流程, 在此环节中, 需要用到半导体材料和设备, 这些是最重要的五大组成部分 设计 : 即按照功能要求设计出所需要的电路图, 最终的输出结果是掩膜版图 电路设计要求尽量减小面积 降低设计成本 缩短设计周期, 以保证全局优化 对于设计类公司, 由于他们没有 Fab( 工厂 ), 所以被称为 Fabless, 例如高通 英伟达 联发科 华为海思等 制造 : 将设计好的电路图转移到硅片等衬底材料上的环节称为制造流程 半导体行业中, 不同的公司站位和布局是不同的, 早期的公司多为 IDM(Integrated Device Manufacture) 模式, 即包揽设计 制造 封测全部流程, 具体公司包括三星 英特尔等巨头 后来发展出专做代工 不做设计的公司, 被称为 Foundry( 代工厂 ), 典型的代表如台积电 中芯国际等公司 封测 : 半导体封装指制造与测试工作完成之后, 产品将从硅片或其他衬底上分离出来并装配到最终电路管壳中, 引入接线端子, 并通过绝缘介质固定保护, 构成一体化结构的工艺技术 检测贯串全部工艺流程, 封装前和封装后均需要检测 封装和测试一般在封测厂完成, 目前全球顶尖的封测厂包括台资的日月光, 美国的艾克尔等 内资企业中, 长电科技 通富微电 华天科技均跻身全球前十大封装厂, 是我国半导体产业链发展最好的环节之一 半导体材料 : 半导体是在各类薄膜材料之上制造电路的技术, 其加工和制备需要各种辅助功能材料 半导体材料包括硅片 靶材 CMP 抛光材料 光刻胶 光罩等 全球知名的材料公司包括外资的信越化学 SUMCO 等, 内资的江丰电子 上海新昇等 半导体设备 : 半导体设备是制造企业的重要利器 以最主要的集成电路制造为例, 分为晶圆加工设备和辅助设备 晶圆加工设备 ( 俗称 Baseline 设备 ) 包括光刻机 刻蚀机 薄膜设备 离子注入机 CMP 设备等 ; 辅助设备包括检测设备 清洗设备等 全球半导体设备龙头有 ASML 应用材料 拉姆研究 泰瑞达等, 国内集成电路设备公司有北方华创 长川科技 至纯科技等 目前, 我国半导体产业链的现状是 : 下游产品需求旺盛, 国内企业供给能力薄弱, 正在全方位追赶 以集成电路为例,IC 设计能力在华为海思的带领下角逐全球领先水平 ;IC 制造以中芯国际为代表正奋力追赶 ;IC 封测领域国产化最为成功, 诞生了长电科技 通富微电等一批领先的封测厂 ; 半导体材料和设备领域相对薄弱, 与海外一流企业差距较大 图表 4 半导体产业链 资料来源 :SEMI, 各公司公告, 平安证券研究所 请务必阅读正文后免责条款 7 / 43

半导体尤其是集成电路广泛运用于信息 通信 计算机 消费电子 汽车 航空航天等领域, 市场规模庞大 21 世纪之后, 随着 PC 和智能手机的普及, 以及人工智能和区块链技术的发展, 全球半导体销售额持续上升 根据全球半导体贸易统计组织数据,2017 年全球半导体销售额 4122 亿美元, 其中集成电路销售额 3432 亿美元 大陆作为全球最大的电子制造中心, 半导体销售额全球占比约 30%(2017Q4 全球占比 32%, 半导体产业协会 (SIA) 数据 ) 假设大陆/ 全球集成电路占比也是 30%, 我们测算 2017 年大陆地区集成电路销售额达到 1030 亿美元, 市场需求庞大 与巨大需求不对称的, 是我国的集成电路供应能力 根据中国半导体行业协会统计,2017 年我国集成电路产业规模达 5411 亿元人民币, 同比增长 24.80% 按照国际通行准则, 仅设计业的产值才可以计入集成电路产品的销售,2017 年我国集成电路设计业产值为 2074 亿元, 按照最新汇率 (1 美元 =6.87 元人民币 ) 折算, 合计美元 302 亿美元 302 亿美元的供给量无法满足 1030 亿美元的需求量, 我国集成电路自制率仅为 29% 图表 5 全球半导体销售额区域分布 (2017Q4) 图表 6 我国集成电路及设计业产值及增速 6,000 集成电路产值 ( 亿元 ) 集成电路设计业产值 ( 亿元 ) 5,000 4,000 3,000 2,000 1,000 0 资料来源 : SIA, 平安证券研究所 资料来源 : 中国半导体行业协会, 平安证券研究所 巨大的供需缺口导致我国每年花费巨资进口集成电路产品 2017 年我国集成电路出口金额为 669 亿美元, 而同期进口金额高达 2601 亿美元, 贸易逆差高达 1932 亿美元 我国每年集成电路进口金额超过原油和汽车 值得一提的是, 此处的进口金额并不全是国内消耗, 大量作为中间品做成最终产品卖到国外, 故不计入国内销售额 集成电路领域严重的进口依赖, 使我国的能源安全 军事安全 信息安全也受到影响 图表 7 我国集成电路产业连年逆差图表 8 集成电路进口额超越原油和汽车 3,000 2,500 进口金额 ( 亿美元 ) 出口金额 ( 亿美元 ) 3,000 2,500 进口金额 : 集成电路 ( 亿美元 ) 进口金额 : 原油 ( 亿美元 ) 进口金额 : 整车 ( 亿美元 ) 进口金额 : 汽车零部件 ( 亿美元 ) 2,000 2,000 1,500 1,500 1,000 1,000 500 500 0 0 资料来源 : 海关总署, 平安证券研究所 资料来源 :wind, 平安证券研究所 请务必阅读正文后免责条款 8 / 43

1.2 大基金入股改变国内集成电路行业生态 集成电路的技术壁垒和资金壁垒极高, 从无到有 从小到大的成长, 离不开国家层面的支持 2018 年 4 月, 中兴通讯遭遇美国 禁售令, 美国提出将停止向中兴通讯销售零部件 商品 软件和技术, 其中限制芯片供应对中兴通讯打击最大 受中美贸易冲突事件的刺激, 我国发展集成电路产业之心更加坚决 我国集成电路产业相对落后的局面早已受到国家的高度关注, 近些年国家出台一系列政策支持集成电路产业发展 : 2012 年 集成电路产业 十二五 发展规划 发布, 提出到 十二五 末, 产业规模再翻一番, 关键技术和产品取得突破性进展 2014 年, 国家集成电路产业发展推进纲要 发布, 提出到 2020 年, 集成电路全行业销售收入年均增速超过 20%,16/14nm 制造工艺实现规模量产, 并设立国家集成电路产业投资基金 ( 简称大基金 ) 2016 年年底, 国务院颁布 十三五 国家战略性新兴产业发展规划的通知, 要求启动集成电路重大生产力布局规划工程, 加快先进制造工艺 存储器 特色工艺等生产线建设 2018 年 3 月, 财政部 发改委等四部门联合发文 关于集成电路生产企业有关企业所得税政策问题的通知, 计划对集成电路企业给予税收优惠支持 2018 年 5 月, 工信部发言人指出国家大基金第二期正在募集, 预计再有超过千亿的资金进入集成电路产业 图表 9 近年来集成电路产业不断受到政策支持 时间部门政策相关内容 2012.02 工信部 2012.07 国务院 2014.06 国务院 集成电路产业 十二五 发展规划 十二五 国家战略性新兴产业发展规划 国家集成电路产业发展 推进纲要 2015.05 国务院 中国制造 2025 2016.11 国务院 十三五 国家战略性新兴产业发展规划的通知 到 十二五 末, 产业规模再翻一番以上, 关键核心技术和产品取得突破性进展, 结构调 整取得明显成效, 产业链进一步完善, 形成 一批具有国际竞争力的企业, 基本建立以企业为主体的产学研用相结合的技术创新体 系 大力提升高性能集成电路产品自主开发能力, 突破先进和特色芯片制造工艺技术, 先 进封装 测试技术以及关键设备 仪器 材料核心技术, 加强新一代半导体材料和器件 工艺技术研发, 培育集成电路产业竞争新优势 到 2020 年, 集成电路产业与国际先进水平 的差距逐步缩小, 全行业销售收入年均增速超过 20%;16/14nm 制造工艺实现规模量 产 设立国家产业投资基金 主要吸引各类资金, 重点支持集成电路制造领域, 兼顾设 计 封装测试 装备 材料环节 支持设立 地方性集成电路产业投资基金 将集成电路及专用装备作为 新一代信息技 术产业 纳入大力推动突破发展的重点领域 形成关键制造装备供货能力 启动集成电路重大生产力布局规划工程, 加 快先进制造工艺 存储器 特色工艺等生产线建设, 提升安全可靠 CPU 数模 / 模数转 请务必阅读正文后免责条款 9 / 43

时间部门政策相关内容 2018.3 财政部 发改委 工信 部 税务总 局 关于集成电路生产企业有关企业所得税政策问题 的通知 资料来源 : 长川科技招股说明书 政府网站整理, 平安证券研究所 换芯片 数字信号处理芯片等关键产品设计开发能力和应用水平, 推动封装测试 关键 装备和材料等产业快速发展 分别给予 2018 年 1 月 1 日后投资新设的集成电路线宽小于 130nm 小于 65nm 或投 资额超过 150 亿元的企业减免企业所得税 这些产业政策中,2014 年由工信部颁布的 国家集成电路产业发展推进纲要 具有重要意义 纲 要 提出要 着力发展集成电路设计业 ; 加速发展集成电路制造业 ; 提升先进封装测试业发展水平 ; 突破集成电路关键装备和材料, 并提出设立大基金, 主要吸引大型企业 金融机构以及社会资金, 重点支持集成电路等产业发展, 促进工业转型升级, 支持设立地方性集成电路产业投资基金 鼓 励社会各类风险投资和股权投资基金进入集成电路领域 大基金于 2014 年 9 月 26 日正式成立, 由华芯投资 国开金融 财政部 紫光通信 北京亦庄 中 国电子科技集团 中国移动 中国烟草总公司 上海国盛等股东发起设立 大基金采用公司制形式, 以股权入股形式进行投资 ( 一级市场参与 ), 不干预原有公司的正常经营, 重点支持集成电路制造环节, 兼顾设计 封装测试 装备 材料等环节, 推动相关企业提升产能以及实行兼并重组, 提升公司竞 争力 图表 10 大基金发展历史 资料来源 : 各新闻网站, 启信宝, 平安证券研究所截止 2017 年年底, 大基金股东承诺出资 987.2 亿元, 实际出资 697.4 亿元, 其中财政部认缴 360 亿元, 国开金融认缴 220 亿元, 北京亦庄认缴 100 亿元, 财政部是最大的出资方 2015 年一季度, 大基金发行优先股募集资金 400 亿元, 大基金一期累计募集资金 1387.2 亿元 图表 11 大基金股东方及出资金额 出资方 认缴资金 ( 亿元 ) 实缴资金 ( 亿元 ) 中华人民共和国财政部 360.00 253.45 国开金融有限责任公司 220.00 154.85 中国烟草总公 110.00 77.43 北京亦庄国际投资发展有限公司 100.00 80.00 武汉金融控股 ( 集团 ) 有限公司 50.00 28.76 请务必阅读正文后免责条款 10 / 43

出资方 认缴资金 ( 亿元 ) 实缴资金 ( 亿元 ) 中国移动通信集团有限公司 50.00 35.19 上海国盛 ( 集团 ) 有限公司 50.00 35.19 中国电信集团有限公司 14.00 9.85 中国联合网络通信集团有限公司 14.00 9.85 中国电子信息产业集团有限公司 5.00 3.53 中国电子科技集团有限公司 5.00 3.53 大唐电信科技产业控股有限公司 5.00 3.53 华芯投资管理有限责任公司 1.20 0.85 福建三安集团有限公司 1.00 - 北京紫光通信科技集团有限公司 1.00 0.71 上海武岳峰浦江股权投资合伙企业 ( 有限合伙 ) 1.00 0.71 合计 987.20 697.40 资料来源 : 启信宝, 平安证券研究所 截止目前, 大基金投资方向围绕集成电路设计 制造 封装测试 材料 装备等全方位展开, 其中 以行业龙头公司为主, 帮助相关公司扩充产能 完成兼并收购 补充发展资金等 图表 12 大基金主要投资方向和相关公司 资料来源 : 各新闻网站, 启信宝, 平安证券研究所大基金的设立以及投资对我国集成电路产业产生了重要影响 集成电路是技术密集和资本密集的产业, 大基金的加入有效缓解了国内集成电路企业的资金压力 目前, 大基金的投资扶持了国内一批优秀的集成电路企业, 涉及产业链条各个环节, 同时通过兼并收购和股权支持促成了国内集成电路产业的一系列重大事件 : 如入股紫光集团, 协助收购展讯 ; 携手中芯国际开发 14nm 技术 ; 携手紫光集团成立长江存储研发 3D NAND Flash 存储器 ; 入股长电科技, 协助收购星科金朋, 打造国内最大 全球领先的封测厂 ; 促成北方微电子和七星电子合并为北方华创, 成就国内集成电路设备龙头公司等 请务必阅读正文后免责条款 11 / 43

二 全球产能大转移, 大陆迎来晶圆厂投建大潮 2.1 国内代工厂和存储器厂加紧研发先进工艺 半导体领域中, 集成电路运用最广泛 占比最高 技术难度最大, 因而集成电路制造是半导体制造核心, 后文将主要围绕集成电路制造和相关设备展开来讲 集成电路 (IC) 主要分为存储器 逻辑电路 微处理器和模拟电路,2017 年全球各类产品销售额分别是 1240 亿美元 /1022 亿美元 /639 亿美元 /531 亿美元 ( 合计销售额超过 3432 亿美元 ) 一般我们将存储器 逻辑电路和微处理器合称为数字电路, 其符合摩尔定律 ( 当价格不变时, 集成电路上可接纳的元器件数目, 每隔 18-24 个月便会增加一倍, 性能也将提升一倍 ) 摩尔定律驱动集成电路线宽距离不断缩小 (IC 生产工艺中最小导线宽度被称为线宽, 是先进水平的主要指标 ), 因而数字电路制造对先进制程要求较高 模拟电路对先进制程要求低一些 摩尔定律推动数字电路先进制程不断缩小, 这也是全球半导体设备市场不断增长的重要驱动因素 微处理器方面, 全球电脑微处理器由英特尔和 AMD 高度垄断, 英特尔属于 IDM, 自己设计自己制造 ADM 属于 IC 设计企业, 制造业务交给格罗方德等代工厂 电脑微处理器领域, 目前国内企业的水平远远落后 手机微处理器除英特尔之外, 其他厂家均采用垂直分工模式, 设计厂家包括高通 苹果 三星 联发科 华为海思等企业, 制造业务全部交给代工厂 逻辑电路方面, 垂直化分工比较普遍, 主要交由代工厂完成生产 ( 代工厂除了代工逻辑电路和模拟电路以外, 也会代工部分微处理器和存储器的生产 ), 中芯国际 华力微电子是我国主要代表的追赶企业 存储器方面, 全球存储器企业多为 IDM 企业, 包括韩国三星和 SK 海力士, 两家占据了全球 60% 以上的市场份额 存储器的设计难度低于微处理器, 我国企业以长江存储 合肥长鑫 福建晋华为代表, 正在努力追赶韩国企业 先进工艺的研发带动先进晶圆厂投资, 目前国内企业主要在两方面进行追赶 : 一是代工厂环节 从 2016 年全球代工厂销售额来看, 中国台湾的台积电一家独大, 占据全球 59% 的份额, 紧随其后是美国的格罗方德 中国台湾联华电子 中芯国际和中国台湾力晶 仅从代工厂产值来看, 大陆在全球前十企业中, 仅有中芯国际和华虹半导体两家代表, 合计市场份额不过 7% 与此同时, 国内 IDM 厂规模非常小, 所以我们判断, 国内与销售额直接对应的晶圆产能占比不足全球的 10% 图表 13 2016 年全球代工厂销售额及市场份额 排名 单位名称 销售额 ( 亿美元 ) 市场份额 (%) 1 台积电 294.88 59% 2 格罗方德 55.45 11% 3 联华电子 45.82 9% 4 中芯国际 29.21 6% 5 力晶科技 12.75 3% 6 美国 Tower Jazz 12.49 2% 7 台湾先进积体 8.00 2% 8 华虹半导体 7.12 1% 9 韩国 Dongbu hitek 6.72 1% 10 德国 X-Fab 5.10 1% 其他 22.51 5% 请务必阅读正文后免责条款 12 / 43

合计 500.05 100% 资料来源 :IC Insights, 平安证券研究所 如果仅看国内市场, 根据 IC Insights 数据,2016 年我国前十大集成电路制造商中, 国产制造商依次 为中芯国际 华润微电子 华虹宏力半导体 上海华力微电子 西安微电子技术研究所五家企业, 其余五家均为外资厂 图表 14 2016 年中国最大的十家集成电路制造商 排名 单位名称 销售额 ( 亿元 ) 1 三星 ( 中国 ) 半导体 237.5 2 中芯国际 202.2 3 SK 海力士 122.7 4 华润微电子 56.7 5 华虹宏力 50.2 6 英特尔 ( 大连 ) 45.8 7 台积电 ( 中国 ) 39.6 8 华力微电子 30.3 9 西安微电子技术研究所 25.0 10 和舰科技 ( 苏州 ) 17.5 资料来源 :IC Insights, 平安证券研究所 IC 制造环节, 以线宽为表征的先进工艺往往最能体现制造能力 当今国际一流代工厂台积电已经量 产 7nm 芯片, 并在积极研发 5nm 制程 目前国内代工厂最先进的制程为 28nm, 追赶海外先进水平 的主要角逐者是中芯国际和华力微电子, 正积极研发 14/28nm 制程 国内领先的代工厂落后国际最 先进水平 2-3 代技术 中芯国际是全球领先 国内第一的集成电路晶圆代工企业, 能够提供 0.35μm 到 28nm 不同技 术节点的晶圆代工与技术服务 目前, 公司在北京 上海 天津 深圳 江阴 意大利分别建 有多座 8 寸和 12 寸晶圆厂 为了提升 28nm 产能 追赶 14nm 先进制程, 中芯国际近年来筹 划在北京 深圳扩建 12 寸晶圆厂 项目已于 2016 年启动, 今明两年内有望投产 华力微电子隶属于华虹集团, 是国家 909 工程升级改造项目承担主体, 拥有中国大陆第一 条全自动 12 寸晶圆厂 ( 华虹五厂 ), 工艺技术覆盖 55-40-28nm 各节点, 月产能 3.5 万片 目前 正在上海康桥建设第二条 12 英寸晶圆厂 ( 华虹六厂 ), 计划制程是 28nm/14nm, 设计月产能 4 万片 图表 15 国内外领先的 IDM 和代工厂先进制程进展情况企业类型企业量产先进制程下一代制程 IDM 三星 10nm 7nm 英特尔 14nm 10nm 台积电 7nm 5nm 格罗方德 14nm 10nm 代工厂 联华电子 14nm 改良版的 12nm 中芯国际 28nm 14nm 华力微电子 40nm 28nm 资料来源 :Digitimes Research, 平安证券研究所 请务必阅读正文后免责条款 13 / 43

二是存储器的设计和制造 存储器分为内存和闪存 闪存形象理解为大仓库, 发挥大容量存储功能, 分为 NAND Flash 和 Nor Flash NAND Flash 一般用于高端智能产品如智能手机等, 容量包括 16G/32G/64G/128G 等,Nor Flash 一般用于 MP3 USBkey 等低端产品, 容量在 64Mb 以下 内存主要是 DRAM, 形象理解为生产车间和大仓库之间的小仓库, 方便快速生产, 通常容量较小, 如 2G/4G 等 全球存储器领域, 韩国三星 SK 海力 美国镁光垄断了全球 80% 以上的市场份额 存储器设计和制造难度低于微处理器, 国内企业大有机会 目前国内 Nor Flash 产品已经具备全球竞争力, 兆易创新已经成为全球第五大设计商 NAND Flash 目前正经历从 2D 向 3D 发展 ( 利用垂直方向的空间, 扩大存储容量 ), 正是各家厂商大力投资的好时机 而 DRAM 产品功能变化相对较小, 开始出现明显的周期性 2017 年以来,DRAM 产品价格暴涨, 让下游诸多国内智能产品厂商叫苦不迭, 进口替代呼声越来越大 总结而言, 在存储器产品上, 国内厂商将在 DRAM 和 NAND Flash 两大领域追赶 目前国内存储器领域, 主要有长江存储 合肥长鑫 福建晋华三股力量 这三家公司均成立不久, 背后拥有强有力的股东以及可靠的技术支持 长江存储的背后是武汉市政府以及紫光集团, 紫光集团全方位布局集成电路, 具有较强的影响力 合肥长鑫背后是合肥市政府和兆易创新 福建晋华背后是福建省政府和联电 长江存储和 Spansion 合作开发 3D NAND Flash, 有望成为国内 NAND Flash 领域的突破口 公司初期产能是 2 万片 / 月, 总产能计划是 30 万片 / 月, 量产的制程将依次为 32nm/64nm/96nm 目前公司已经拥有 32 层 NAND Flash 自主知识产权, 今年下半年有望开始量产 同时长江存储也在积极布局 DRAM, 中国台湾 DRAM 教父高启全目前担任紫光集团全球执行副总裁暨长江存储执行董事 合肥长鑫和福建晋华专攻 DRAM 领域 合肥长鑫依赖兆易创新的技术支持, 兆易创新是国内存储器的龙头, 其 Nor flash 产品市场规模位于全球第五, 兆易创新主要研发 19nm 工艺制程的 12 英寸晶圆移动型 DRAM, 其技术研发人员主要来自 SK 海士力 日本尔必达以及中国台湾华亚科的高级技术人才 2018 年 7 月合肥长鑫 DRAM 正式投片, 产品规格为 8Gb LPDDR 4, 国产 DRAM 迈出重要一步 福建晋华技术来源是委托联电开发而来, 联电作为中国台湾领先的半导体企业, 深度布局超过 30 年, 技术实力雄厚 预计 2018 年三季度投片 图表 16 国内外存储器领先公司对比 资料来源 : 各公司官网, 平安证券研究所 请务必阅读正文后免责条款 14 / 43

2.2 全球半导体产能向大陆转移,8 英寸和 12 英寸晶圆厂迎来建厂高峰 回顾历史, 全球半导体产业发展经历过由美国向日本 向韩国和中国台湾地区几轮转移, 未来几年预计将大面积向大陆转移 扣除政策大力支持外, 全球半导体产业每一轮的转移均伴随下游需求的爆发增长 半导体技术起源于美国, 在 20 世纪 80 年代以前, 美国一直霸占全球半导体产业第一名的地位, 并诞生了诸如英特尔 格罗方德 TowerJazz 等一批领先的半导体企业 美国半导体产业的发展源于技术创新和先发优势 日本早期接受美国扶持发展半导体, 随后在日本政府的大力支持下, 存储器技术超越美国, 并在 1986 年成为全球最大的半导体生产国 此后, 由于美国政府逼迫日本政府签订 日美半导体协定, 给予了美国半导体产业喘息之机, 之后美国半导体产业凭借英特尔的创新而再次腾飞 同时随着手提电脑和智能手机的出现, 日本半导体企业僵化的体制未能跟上新产品市场的发展节奏, 被韩国企业和中国台湾企业所超越 日本半导体产业发展的背后是大型工业级电脑存储器市场的快速增长 韩国半导体产业早期通过吸引美国和日本企业来韩国建厂而起步, 随后在一系列的政策支持下, 韩国存储器产业开始崛起 1994 年, 韩国凭借三星 LG 现代三家存储器企业的快速发展, 成功超越了日本 目前韩国拥有三星 SK 海力士等一批优秀的 IDM 和存储器生产企业 韩国半导体产业壮大的背后是个人电脑存储器市场的爆发增长 在韩国半导体产业崛起的同时, 中国台湾地区半导体产业成功进入全球市场 台湾半导体产业的成功得益于产业模式的创新, 以台积电为代表的企业率先摒弃了 IDM 模式, 开创了代工厂模式 (Foundry) 代工厂专营 IC 制造, 将 IC 设计独立出来交给专业的 Fabless 公司 (IC 设计公司 ), 代工厂可以更加专心的从事制造工艺的提升 垂直化的分工更加符合市场日新月异的发展需求, 凭借该模式的创新, 中国台湾地区诞生了台积电 联华电子等优秀的代工厂, 及联发科等领先的 IC 设计公司 台湾地区半导体产业壮大的背后是个人电脑和手机市场的快速增长 21 世纪之后, 随着个人电脑和智能手机的普及, 大陆成为全球电子制造中心 此外, 随着人工智能和区块链技术的发展, 大陆半导体产业下游需求旺盛, 有望承接全球半导体产业新一轮的区域转移 2014 年之后, 随着 国家集成电路产业发展推进纲要 的颁布, 大陆半导体产业迎来新一轮的投资大潮 根据 SEMI 统计,2017-2020 年, 全球共有 62 座晶圆厂投建, 其中 26 座将在中国大陆 大陆半导体产业有望承接全球新一轮区域转移的背后是智能手机 人工智能 区块链市场的快速增长 图表 17 全球半导体产业区域转移发展历程 资料来源 :sohu, 平安证券研究所 请务必阅读正文后免责条款 15 / 43

晶圆按照直径尺寸可以分为 4 英寸 5 英寸 6 英寸 8 英寸 12 英寸, 考虑到晶圆加工问题, 晶圆尺寸越大, 单片晶圆能够制作的芯片数量越多, 单个芯片的成本越便宜 因而晶圆的尺寸总是朝着大尺寸发展 大尺寸的晶圆制造难度更高, 目前, 市场主流的晶圆是 8 英寸 ( 直径为 200mm) 和 12 英寸 ( 直径为 300mm),18 英寸晶圆有望几年后量产 不同的晶圆厂加工处理的晶圆尺寸大小不同, 因而通常按照加工的晶圆尺寸对晶圆厂进行划分 目前 8 英寸晶圆具备成熟的特种工艺, 能够支持尺寸较小的晶粒包含更多的模拟内容, 或者支持较高电压, 主要运用于 DC-DC 转换器 马达驱动器 电池充电器 IC 指纹识别芯片和显示驱动 IC 等 12 英寸晶圆用来量产先进工艺, 包括先进制程的逻辑电路 存储器和微处理器等 对于我国集成电路装备企业而言, 当前阶段, 由于海外装备巨头的优势地位, 国内设备销往海外集成电路制造企业难度巨大, 更大的机会是销往国内晶圆厂 在全球集成电路产业向大陆转移的重要时机, 我国集成电路产业在先进制程和存储器领域双双发力的同时, 大陆将纷纷建设一批 8 寸和 12 寸的晶圆厂, 集成电路设备市场将迎来爆发式增长 目前, 我国拥有超过 16 条的 8 寸晶圆厂, 其中中芯国际和华虹宏力各三条, 是国内当之无愧的龙头 上海先进 华润上华 华润微电子等企业均拥有 8 寸线 此外大陆拥有三家外资晶圆厂, 分别是德州仪器的成都厂 和舰科技苏州厂 ( 联电 ) 台积电的上海厂 大多数 8 寸晶圆厂的生产工艺均为功率半导体 在已有的 12 寸晶圆厂中, 我国企业格局呈现为 4+4, 即四家外资企业 ( 三星西安厂 英特尔大连厂 SK 海力士无锡厂 联电厦门厂 ) 和四家内资企业 ( 中芯国际北京两条线 & 上海一条线 & 深圳一条线 华力微电子上海线 武汉新芯线 合肥晶合线 ),11 条线合计产能约 58.2 万片 / 月 其中, 四条外资线合计月产能为 38 万片 / 月, 占比超过 65%,7 条内资线月产能 20.5 万片, 占比为 35%, 外资厂仍然占据我国 12 寸晶圆厂的多数产能 未来几年, 内资 12 寸晶圆厂将快速成长, 产能占比将显著提升 图表 18 我国已有的 8 寸晶圆厂 企业性质公司名称厂区地址产能 ( 万片 / 月 ) 上海 109 中芯国际 深圳 30 天津 50 上海 65 华虹宏力 上海 59 上海 48 内资 上海先进上海 52 华润上华 无锡 65 华润微电子 重庆 47 中车株机所 株洲 50 北京燕东 北京 50 大连宇宙 大连 20 士兰微 杭州 40 德州仪器 成都 50 外资厂 台积电上海 120 资料来源 : 各公司官网和公告, 平安证券研究所 和舰科技苏州 60 请务必阅读正文后免责条款 16 / 43

图表 19 我国已有的 12 寸晶圆厂 企业性质公司名称厂区地址产能 ( 万片 / 月 ) 生产项目 / 工艺 三星西安 12 NAND Flash 外资 英特尔大连 4 65nm SK 海力士无锡 17 DRAM 联芯 ( 联电 ) 厦门 5 40nm-28nm 北京全资 4.6 0.13um-55nm 中芯国际 北京合资 2.9 40nm-28nm 上海 1.7 40nm-28nm 内资 深圳 0.3 - 华力微电子 上海 3.5 55-40-28nm 武汉新芯 武汉 2.7 NOR FLASH+ BSI 合肥晶合 合肥 4 110nm 资料来源 : 前瞻产业研究院 各公司公告, 平安证券研究所 近年来, 由于 8 寸晶圆制造的部分关键设备停产, 全球 8 寸晶圆产能普遍吃紧 国内建设 8 寸晶圆 厂的动力仍然十足 : 中芯国际 德科码 积塔半导体在大陆纷纷扩建或新建 8 寸晶圆厂 图表 20 我国在建的 8 寸晶圆厂 公司名称 中芯国际 厂区地址 产能 ( 万片 / 月 ) 天津 9 生产项目 / 工艺 0.35um-90 nm 投资金额 ( 亿美元 ) 15 绍兴 4.25 特色工艺 8.65 德科玛南京 4 积塔半导 体 CMOS 图 像传感器芯 上海 6 特色工艺 13 资料来源 : 各公司公告与官网, 平安证券研究所 片 - 开工时间 2016 年 10 月 2018 年 5 月 2017 年 2 月 2018 年 8 月 计划量产时间 2020 年 2020 年 1 月 - 2020 年 我国目前在建的 12 寸晶圆厂主要有三股力量 :1. 外资厂或合资厂的扩建及新建, 如三星 英特尔 SK 海力士均有扩建计划, 同时格罗方德 台积电 AOS 德科玛纷纷新建 12 寸晶圆厂 ;2. 大陆存储器势力的爆发 : 以长江存储 晋华集成 合肥长鑫三大存储器力量, 以及紫光 武汉新芯 ( 二期 ) 带动的存储器新线投资 ;3. 大陆代工厂的扩建和新建, 以中芯国际上海线 华力微电子上海线 华虹无锡线 粤芯广州线为主 图表 21 我国在建的 12 寸晶圆厂 企业 性质 外资 公司名称 厂区地址 产能 ( 万片 / 月 ) 三星西安 ( 扩建 ) 20 英特尔大连 ( 扩建 ) - 生产项目 / 工艺 NAND Flash 新一代 Nor Flash 投资金额 ( 亿美元 )) 70 开工时间 2018 年 3 月 55 2017 年 计划量产 时间 2019 年 2018 年下 SK 海力无锡 ( 扩建 ) 20 10nm 86 2017 年下 2021 年后 半年 请务必阅读正文后免责条款 17 / 43

士 半年 内资 存储器 格芯 ( 格罗方德 ) 成都 8.5 22nmFD- SOI+CMO S 90.53 台积电南京 2 16nm 30 万代半导体 (AOS) 重庆 7 功率半导体芯片 MOSFET 德科玛淮安 2 CMOS 99 武汉新芯 ( 二期 ) 武汉 1.3 紫光南京 10 合肥长鑫 / 兆易创新 合肥 总规划 12.5 万片 / 月, 2019 年一期量产, 产能为 2 万片 / 月 福建晋华泉州 6 长江存储 武汉 30 万片 / 月 ( 分三期建设, 一期规划 10 万片 / 月 ) 0.8 万片 / 月 NOR FLASH+0. 5 万片 / 月 微控制器 + 三维特种 工艺 3D NAND Flash DRAM 19nmDRA M 利基型 DRAM 3D NAND Flash 7 17.8 100 72 56.5 240( 分三期, 一期约 80) 2017 年 2 月 2017 年 7 月 2016 年 3 月 2016 年 3 月 2018 年 8 月 2017 年 2 月 2017 年 7 月 2016 年 7 月 2016 年年底 一期 2018 年底投产, 二期 2019 年四季度 投产 2018 年下 半年 2018 年 2018 年 - 2020 年 2019 年 2018 年年底 2019 年 中芯国际上海 3.5 14nm 102.4 2016 年 10 月 2019 年 内资代工 厂 华力微上海 4 28nm-14n m 华虹宏力无锡 4 90-65nm 25 粤芯广州 3 - 约 10-2016 年年 底 2018 年 3 月 2017 年年底 2020 年 2020 年 2019 年 资料来源 : 前瞻产业研究院 各公司公告, 平安证券研究所一般而言, 晶圆厂投资总金额中, 设备投资占比 7-8 成, 基建和洁净室投资占比 2-3 成 我们统计了目前在建的 8 寸和 12 寸晶圆厂, 总投资金额超过 900 亿美元, 按照 70% 的比例测算, 累计的相关设备投资超过 630 亿美元 晶圆厂投资设备招标伴随土建工作开展而同时进行, 在洁净室竣工前全部搬入, 因而这些设备投资将分布在近几年内, 未来几年大陆晶圆厂设备市场呈现高度景气 请务必阅读正文后免责条款 18 / 43

2.3 大陆半导体设备市场增速领跑全球 根据国际半导体产业协会 (SEMI) 统计, 大陆未来几年将建成 26 座晶圆厂, 一般晶圆厂开工建设的同时便需要招标设备, 大陆集成电路设备将迎来需求爆发增长期, 国产设备企业有机会把握本轮投资高峰期, 提升市场影响力 根据 SEMI 最新统计数据,2017 年全球半导体设备市场规模 566 亿美元, 预计 2018 年将达到 627 亿美元, 同比增长 10.8% 随着众多晶圆厂在大陆投建, 大陆设备市场增速将超过全球增速水平, 2017 年大陆半导体设备市场规模约 82.3 亿美元, 全球占比 14.5% 预计 2018 年达到 118.1 亿美元, 同比增长 43.5%,2017 年设备市场全球排名第三 SEMI 预计 2019 年有望上升到第二的位置, 大陆半导体设备市场空间巨大 成长迅速 图表 22 全球半导体设备市场规模及增速 图表 23 中国半导体设备市场规模及增速 800 700 600 500 400 300 200 100 0 全球半导体设备 ( 亿美元 ) 增速 (%) 675.9 627.3 566.2 412.3 40% 35% 30% 25% 20% 15% 10% 5% 0% 200 180 160 140 120 100 80 60 40 20 0 中国半导体设备 ( 亿美元 ) 增速 (%) 173.2 118.1 82.3 64.6 50% 45% 40% 35% 30% 25% 20% 15% 10% 5% 0% 资料来源 :SEMI, 平安证券研究所 资料来源 :SEMI, 平安证券研究所 图表 24 2017 年大陆半导体设备市场位居全球第三 图表 25 预计 2018 年大陆半导体设备市场跃居全球第二 2017 年全球半导体设备区域分布 预计 2018 年全球半导体设备区域分布 200 180 160 140 120 100 80 60 40 20 0 179.5 114.9 82.3 64.9 55.9 36.7 32.0 200 180 160 140 120 100 80 60 40 20 0 179.6 118.1 106.6 85.8 58.0 41.0 38.2 资料来源 :SEMI, 平安证券研究所 资料来源 :SEMI, 平安证券研究所 半导体设备技术难度高 研发周期长 投资金额高 依赖高级技术人员和高水平的研发手段, 具备非常高的技术门槛 高门槛导致全球设备市场格局高度集中 目前全球半导体设备市场主要被美国 日本 荷兰企业所垄断,2017 年全球 IC 设备前十大供应商中, 应用材料 ( 美国 ) 拉姆研究( 美国 ) 东京电子 ( 日本 ) 阿斯麦( 荷兰 ) 科磊半导体( 美国 ) 占据榜单前五 CR5 占比 64%,CR10 占比 73%, 全球半导体设备呈现高度集中状态 请务必阅读正文后免责条款 19 / 43

图表 26 2017 年全球半导体设备十强 ( 按照销售收入排名 ) 主要产品领国别排名单位英文名中文名域 1 2 3 Applied Materials Lam Research Tokyo Electron 应用材料 拉姆研究 东京电子 沉积 刻蚀 离子注入 化 学机械研磨等 刻蚀 沉积 清洗等 沉积 刻蚀 匀胶显影设 备等 2017 年营收 ( 亿美元 ) 增速 (%) 美国 107 38% 美国 84.4 62% 日本 72.03 48% 4 ASML 阿斯麦光刻设备荷兰 71.86 41% 5 KLA-Tencor 科磊 6 Screen Semiconduct or Solutions 迪恩士 7 SEMES 细美事 8 9 Hitachi High-Technol ogies Hitachi Kokusal 日立高新 日立国际电 气 10 Daifuku 大福 资料来源 :Gartner, 平安证券研究所 硅片检测, 测 量设备 刻蚀 清洗设 备 清洗 光刻 封装设备 沉积 刻蚀 检测设备 封 装贴片设备 等 美国 28.2 17% 日本 13.9 1% 韩国 10.5 142% 日本 10.3 5% 热处理设备日本 9.7 84% 无尘室搬运等 日本 6.9 46% 尽管需求旺盛, 但国内设备供给能力目前并不能满足需求 目前半导体设备商主要集中于美国 日 本 欧洲 韩国四个地区, 国内半导体设备体量尚小, 技术水平与海外龙头还有较大差距 根据 Gartner 的数据, 全球列入统计的 规模以上的半导体设备企业共有 58 家, 其中日本 36 家 欧洲 13 家 北美 10 家 韩国 7 家 中国大陆 4 家 ( 上海盛美 上海中微 Mattson 北方华创 ), 数量占比 7%, 市场规模占比不足 5%, 与庞大的市场需求不相匹配 根据中国电子专用设备工业协会数据,2011-2016 年, 国内设备企业平均自制率仅为 16%, 国产设 备自制率还有较大的提升空间 据北方华创公司公告信息, 我国计划到 十三五 末期, 国产集成 电路装备在国内芯片制造厂的替代率至少达到 30%, 全球半导体产能大转移为国内集成电路装备企 业带来重要历史机遇 请务必阅读正文后免责条款 20 / 43

图表 27 国产半导体设备比重一直低于 20% 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 国产设备比重 (%) 进口设备比重 (%) 24% 20% 13% 13% 13% 11% 76% 80% 87% 87% 87% 89% 资料来源 :SEMI, 中国电子专用设备工业协会, 万业企业公司公告, 平安证券研究所根据中国半导体设备协会数据,2017 年中国半导体设备五强企业包括中电科电子装备集团 北方华创 中微半导体 沈阳拓荆 上海微电子五家企业, 半导体体量最大的企业收入约为 10 亿元, 与海外巨头规模差别较大 半导体行业尤其是集成电路领域, 技术升级快, 常表现为 一代技术 一代设备 国内半导体设备企业有望把握国内晶圆厂投资高峰, 迎来重要的发展时机 图表 28 2017 年我国半导体设备五强单位排名单位名称 1 中电科电子装备集团有限公司 2 北方华创科技集团股份有限公司 3 中微半导体设备 ( 上海 ) 有限公司 4 沈阳拓荆科技有限公司 5 上海微电子装备 ( 集团 ) 股份有限公司资料来源 : 中国半导体行业协会, 平安证券研究所 三 进口替代 由易到难 : 大陆半导体设备商砥砺前行 3.1 02 专项 支持下, 国内集成电路装备企业逐步追赶 不同的半导体产品制造略有区别, 我们以最主要产品集成电路来展开剖析 集成电路制造环节包括 IC 设计 IC 制造 IC 封测, 其中 IC 制造和 IC 封测环节设备需求较大,IC 设计对设备需求较低 芯片制造一般从晶体生长开始, 晶圆经历氧化 ( 晶圆表面绝缘 ) 光刻和刻蚀( 设计图形转移 ) 离子注入和退火 ( 激活晶体电性 ) 气相沉积和电镀( 形成金属连线和绝缘层 ) 化学机械研磨( 结构层表面平整 ), 一直到晶圆测试 其中核心设备包括氧化 / 扩散炉 光刻机 刻蚀机 离子注入机 PVD CVD 清洗机 检测设备等 请务必阅读正文后免责条款 21 / 43

图表 29 半导体产业链环节及核心装备 资料来源 : 长川科技招股说明书, 平安证券研究所 图表 30 芯片制造流程步骤主要功能晶圆表面绝芯片制造的第一步是对晶圆表面进行氧化, 形成一层绝缘层, 一是可做后期工缘 : 氧化艺的辅助层, 二是协助隔离电学器件, 防止短路 把氧化后的晶圆表面旋涂一层光刻胶, 随后对其进行曝光, 再通过显影把电路设计图形转图形显现出来, 光刻层数多达几十层, 每一层之间的校准必须非常明确, 接下移 : 光刻和刻来进行刻蚀, 用化学腐蚀反应的方式, 或用等离子体轰击晶圆表面的方式, 光蚀刻胶覆盖的位置被保护, 没有被覆盖的位置被刻蚀, 形成凹陷, 实现电路图形的转移 离子注入 退离子注入就是把杂质离子轰进半导体晶格中, 使得晶格中的原子排列混乱或者火 : 激活晶体成为非晶区, 退火是将离子注入后的半导体放在一定温度下进行加热, 恢复晶电性体的结构消除缺陷, 从而激活半导体材料的不同电学性能 形成金属连线物理气相沉积用于形成各种金属层, 连通不同的器件和电路, 以便进行逻辑和或绝缘层 : 气模拟计算 ; 化学气相沉积用于形成不同金属层之间的绝缘层 电镀则专用于生相沉积 电镀长铜连线金属层 结构层表面平每个结构层完成后用化学腐蚀和机械研磨相结合的方式对晶圆表面进行磨抛, 整 : 化学机械实现表面平坦化 研磨最后, 晶圆再经过背面减短 切片 封装 检测, 一个完整的芯片产品制备完后期处理成重复流程芯片制造的主要步骤需要循环反复几十次甚至上百次资料来源 : 中芯国际, 平安证券研究所根据 SEMI 数据,2017 年全球半导体设备市场达到 566 亿美元, 其中晶圆加工设备 / 封测设备 / 检测设备 / 其他前段设备分别为 455 亿美元 /39 亿美元 /47 亿美元 /25 亿美元 根据 Global Foundries 数据, 晶圆加工设备中, 光刻机 刻蚀机 PVD 设备 CVD 设备 量测设备 离子注入机 CMP 设备 扩散设备占比分别为 30%/20%/15%/10%/10%/5%/5%/5% 各细分市场空间均较大, 按照 2017 年全球晶圆加工设备 455 亿美元来计算, 最小的细分市场空间亦超过 20 亿美元 请务必阅读正文后免责条款 22 / 43

图表 31 全球半导体设备构成情况 图表 32 晶圆加工设备投资占比拆分 2017( 亿美元 ) 2018E( 亿美元 ) 600 500 400 300 200 100 0 508 455 39 42 47 49 25 28 资料来源 :SEMI, 平安证券研究所 资料来源 :Global Foundries, 平安证券研究所 我们将半导体设备分为晶圆加工设备和辅助设备 对比而言, 与摩尔定律相关 影响先进制程的设备技术难度最高, 包括光刻机 刻蚀设备 ; 晶圆加工的生产线设备技术难度高, 包括氧化 / 扩散炉 PVD 设备 CVD 设备 离子注入机 CMP 设备等 ; 辅助设备技术难度较高, 但低于晶圆加工设备, 包括清洗设备和检测设备 针对半导体设备, 国务院于 十二五 规划期间推出 极大规模集成电路制造装备及成套工艺 重大专项, 是 16 个国家重大专项的第二个, 简称 02 专项, 旨在突破集成电路制造装备 材料 工艺 封测等核心技术, 形成完整的产业链, 具备国际竞争力 在 02 专项 的带动下, 北方华创的 28nm 硅栅刻蚀机和 12 英寸的氧化炉 上海中微半导体 90-65nm 等离子介质刻蚀机 盛美半导体的 12 英寸单晶园兆声波清洗机得到重大突破 02 专项 对于我国半导体装备行业发展起到了关键的引领扶持作用 图表 33 02 专项对半导体装备的规划 02 专项 十二五 目标 02 专项 十三五 目标 相关内容 重点进行 45-22nm 关键制造装备攻关, 开发 32-22nm 互补 金属氧化物半导体 (CMOS) 工艺 90-65nm 特色工艺, 开展 22-14nm 前瞻性研究, 形成 65-45nm 装备 材料 工艺配套能力及集成电路制造产业链, 进一步缩小与世界先进水平 差距, 装备和材料占国内市场的份额分别达到 10% 和 20%, 开拓国际市场 攻克 14nm 刻蚀设备 薄膜设备 掺杂设备等高端制造装备 及零部件, 突破 28nm 浸没式光刻机及核心部件, 研制 300 毫米硅片等关键材料, 研发 14nm 逻辑与存储芯片成套工艺 及相应系统封测技术, 开展 75nm 关键技术研究, 形成 28-14nm 装备 材料 工艺 封测等较完整的产业链, 整体 创新能力进入世界先进行列 资料来源 : 国务院网站, 平安证券研究所在国家政策的强烈支持以及半导体产业向中国转移的浪潮中, 国产设备研发加速, 功能不断提升, 逐步实现进口替代 上市公司中, 北方华创核心设备最为丰富, 包括刻蚀机 PVD CVD 清洗设备等, 长川科技封测设备国内领先, 至纯科技主要提供高纯工艺系统, 并积极布局清洗设备 请务必阅读正文后免责条款 23 / 43

图表 34 半导体核心设备上市公司一览 公司名称所属环节供应设备核心客户 北方华创 芯片制造 清洗机 氧化炉 刻蚀机 CVD PVD ALD 等核心设备 长川科技芯片封装检测分拣机 测试机 至纯科技 全流程 半导体制造工艺所需的高纯工艺系统 布局清洗设备 盛美半导体全流程清洗设备 中芯国际 长江存储 力 晶 联电 华力 华虹等 长电科技 华天科技 通富微电 士兰微 日月光 华润微电子等 海士力 新进芯微电子 华力等 中芯国际 长江存储 SK 海力士 资料来源 :wind 各公司公告, 平安证券研究所 备注 : 盛美半导体在美股上市 3.2 晶圆加工设备技术难度高, 打铁还需自身硬 晶圆加工包括氧化 光刻 刻蚀 扩散 离子注入 物理气相沉积 化学气相沉积 机械研磨抛光等流程 对应的设备分别为氧化炉 光刻机 刻蚀机 扩散炉 离子注入机 PVD 设备 CVD 设备 CMP 设备 晶圆加工设备难度高, 其中以光刻机和刻蚀机难度最高 目前, 晶圆加工设备主要由海外巨头供应, 国内以北方华创 中微半导体等企业代表, 正努力追赶 下文将按照加工流程逐步分析每一款设备的具体情况和国内外竞争格局 (1) 氧化 / 扩散炉 ( 高温炉 ) 芯片的制造流程中, 晶圆厂先从硅片厂获得完美无缺的硅片, 然后在硅片表面通过氧化的方式生长一层氧化层 ( 二氧化硅 ), 通过在氧化层上刻印图形和刻蚀, 达到对硅衬底进行扩散掺杂, 激活硅片的半导体属性, 从而形成有效的 PN 结 ( 具备单向导电性的空间电荷区 ) 这层氧化层既可以做后面离子注入工艺的掩蔽板, 又可以做金属导电层的介质层 ( 二氧化硅不导电 ) 氧化环节所使用的高温炉在半导体制造领域中具备多种应用 : 如扩散 离子注入后硅片表面的热退火 各种薄膜工艺 玻璃体的回流 硅化物膜的形成等 通常, 用于这些热工艺的高温炉分为三类 : 卧式炉 立式炉 快速热处理 (RTP) 目前, 制造工艺中最主要使用立式炉, 相比卧式炉, 立式炉更易自动化 可改善操作者的安全以及减少颗粒沾污 RTP 以高达每秒几百度的升温速率对硅片加热, 最广泛的应用是离子注入后的退火, 优点是缩短了加热时间, 从而减少了热预算, 它常与其他工艺步骤相结合 请务必阅读正文后免责条款 24 / 43

图表 35 三类高温炉展示 资料来源 : 北方华创 应用材料官网, 平安证券研究所 图表 36 传统立式炉与 RTP 对比 立式炉 RTP 处理数量 一批 单片 壁沿温度 热壁 冷壁 加热时间 长时间加热和冷却炉子 短时间加热和冷却硅片 热梯度 硅片较小热梯度 硅片较大热梯度 周期长短 长周期 短周期 测量指标 测量气氛温度 测量硅片温度 结果 大的热预算颗粒 气氛控制 温度均匀性 杂质运动最小硅片间的重复性 产量 由于快速加热产生应力绝对的温度测量 资料来源 : 半导体制造工艺, 平安证券研究所目前, 我国高温炉市场主要被外资品牌占据, 如应用材料 日本日立 东京电子等企业,CR3 市场份额超过超过 90% 内资品牌中, 北方华创 12 英寸立式氧化炉陆续通过 90nm/65nm/45nm/28nm 技术代集成电路生产线的工艺验证, 实现产线应用 同时, 随着国内 8 英寸产线的建设和扩产, 北方华创利用 12 英寸立式氧化炉多年的积累, 积极开发出全新的 8 英寸立式高温氧化炉, 并中标国内多个 8 英寸和 12 英寸项目订单 此外, 亦庄国投 2016 年收购了美国公司 Mattson,Mattson 是半导体设备供应商, 在 RTP 光刻胶剥离及清洗设备具有领先优势 请务必阅读正文后免责条款 25 / 43

图表 37 氧化 / 扩散炉市场竞争格局 资料来源 : 各公司官网, 平安证券研究所 (2) 光刻设备光刻的本质是把电路结构图复制到硅片上的光刻胶上, 方便之后进行刻蚀和离子注入 光刻技术对集成电路制造非常重要, 从集成电路诞生之初, 光刻就被认为是集成电路制造工艺发展的驱动力 IC 生产工艺中最小导线宽度被称为线宽, 是先进水平的主要指标, 光刻技术与线宽指标密切相关 当今国际一流代工厂台积电目前已经量产 7nm 芯片, 并在积极研发 5nm 工艺, 中芯国际 14nm 工艺于 2018 年 8 月开始流片测试 光刻对于芯片有两个重要意义 : 从价格方面来讲, 一片硅片的处理费用与硅片上的芯片数目关联性不强, 即工艺步骤 材料总量 硅片的传送对于两个包含不同芯片数量的硅片而言, 几乎完全相同 如果一个硅片能够接纳更多的芯片, 则单个芯片的成本将降低 ; 从性能上来讲, 摩尔定律指出, 当价格不变时, 集成电路上可接纳的元器件数目, 每隔 18-24 个月便会增加一倍, 性能也将提升一倍 集成电路产业不断升级的背后是光刻技术的持续进步 光刻工艺一般分为 8 个步骤 : 1) 气相成底膜 : 光刻的第一步需要清洗 脱水和硅片表面成底膜处理, 以便增强硅片和光刻胶之间的粘附性 2) 旋转涂胶 : 成底膜处理后, 通过旋转涂胶的方法涂上光刻胶材料 3) 软烘 : 涂胶后进行软烘, 用以去除光刻胶中的溶剂 4) 对准和曝光 : 将掩膜版和硅片精确对准, 然后进行曝光处理 5) 曝光后烘焙 : 曝光后需要对硅片再次烘焙, 这样做可以使之后的化学反应更加充分, 从而提高显影后的图形尺寸和分辨率 6) 显影 : 通过旋转 喷雾 浸润等方式, 利用化学显影剂溶解光刻胶上的可溶解区 ( 一般是曝光环节中被光照射过的区域 ), 将电路图形留在硅片表面, 这一步非常关键 7) 坚膜烘焙 : 显影后通过热烘挥发掉存留的光刻胶溶剂, 同时提高光刻胶对硅片表面的粘附性 8) 显影检查 : 检查显影后的电路图是否完美无缺 请务必阅读正文后免责条款 26 / 43

图表 38 光刻工艺的 8 个步骤 资料来源 : 半导体制造技术, 平安证券研究所光刻技术经过数十年的发展, 逐步发展出接触式光刻机 接近式光刻机 扫描投影光刻机 分步重复光刻机 步进扫描光刻机 极紫外光刻机 ; 光源也由 G 线 (436nm) H 线 (405nm) I 线 (365nm) 向 KrF(248nm) ArF(193nm) 方向演变 目前市场上主流的光刻机为 ArF 光刻机, 包括步进扫描投影光刻机 浸没式步进扫描投影光刻机 (ArFi 光刻机 ), 同时最新一代光刻机 - 极紫外 (EUV) 光刻机于 2010 年由 ASML 推出 图表 39 ASML 光刻机实图及示意图 资料来源 :ASML, 平安证券研究所目前, 全球光刻机市场主要由荷兰的阿斯麦 (ASML) 日本尼康 和佳能三家把持, 其中 ASML 垄断了高端光刻机市场 2017 年全球光刻机出货 294 台, 其中 ASML 出货 198 台, 占比 67%, 高端光刻机 EUV 全球 11 台出货量全部由 ASML 供应, 主流机型 ArFi 光刻机 ASML 出货 76 台, 占比超过 93% 日本佳能 2017 年出货 70 台, 均属于中低端机型 尼康出货量 26 台, 市场份额仅为 9%, 影响力较小 从 2011-2017 年累计数据来看,ASML 同样处于高端机型的绝对领导地位 请务必阅读正文后免责条款 27 / 43

图表 40 2017 年 ASML 占据全球出货量的 67% 图表 41 2011-2017 年 ASML 是全球高端光刻机绝对领导者 80 70 60 50 40 30 20 10 0 ASML 尼康佳能 600 500 400 300 200 100 0 ASML 尼康佳能 资料来源 : 各公司公告, 平安证券研究所 资料来源 : 各公司公告, 平安证券研究所 国产光刻机领域中, 上海微电子 (SMEE) 一枝独秀 2018 年 3 月, 上海微电子承担的 02 专项 的 90nm 光刻机样机研制 顺利通过验收, 成为国产光刻机的优秀代表 上海微电子早期光刻机主要用于 90nm 后道封装测试阶段, 在该领域较早实现了国产替代 2018 年 5 月, 上海微电子第 100 台国产高端光刻机交付长电科技产线 目前公司 SMEE 600 系列 IC 前道投影光刻机兼容 200mm 和 300mm 硅片, 可用于 90nm 关键层和非关键层的前道 IC 制造, 有望进入客户前道工艺, 逐步扩大市场份额 图表 42 上海微电子第 100 台高端光刻机交付产线 资料来源 :SMEE 官网, 平安证券研究所 (3) 刻蚀设备光刻是将掩膜版上的图形转移到了硅片表面的光刻胶上, 还没有转移到硅片的材料层上, 之后将硅片上未被光刻胶掩蔽的部分通过选择性刻蚀去掉, 从而将预先定义的图形转移到硅片的材料层上 该步骤中, 有选择性地去除材料的工艺过程, 叫做刻蚀 一般刻蚀之后再通过去胶工艺将衬底表面的光刻胶去掉 刻蚀和光刻技术与先进工艺高度相关, 是芯片制造的两大核心环节, 相关设备难度系数最高 请务必阅读正文后免责条款 28 / 43

刻蚀工艺分为湿法刻蚀和干法刻蚀, 目前湿法工艺已经被干法刻蚀所取代, 仅在漂去氧化硅 去除残留物 剥离表层以及大尺寸图形腐蚀等领域继续使用, 可以理解为湿法清洗 作为目前主流的刻蚀工艺, 干法刻蚀按照被刻蚀材料可以分为介质刻蚀 硅刻蚀和金属刻蚀 根据 Gartner 数据, 三种刻蚀工艺对应的设备中, 介质刻蚀机 硅刻蚀机分别占比 48% 和 47%, 是市场上最主流的刻蚀设备, 金属刻蚀机占比不足 5% 介质的干法刻蚀 : 二氧化硅是最主要的介质, 介质蚀刻主要在二氧化硅上刻蚀, 形成集成电路的接触孔和通孔 硅的干法刻蚀 : 硅刻蚀主要是制作多晶硅栅和单晶硅沟槽 金属的干法刻蚀 : 金属刻蚀主要应用是进行铝合金刻蚀形成金属互连线 图表 43 三种刻蚀设备展示 资料来源 : 拉姆研究 北方华创公司官网, 平安证券研究所根据 Factor & Equilibrium 数据, 全球刻蚀设备有望由 2016 年的 78 亿美元增加到 2025 年的 138.9 亿美元,CARG 达 6.8% 2016 年全球刻蚀设备竞争格局方面, 拉姆研究占比 52.7% 东京电子 19.7% 应用材料 18.8%,TOP3 市占率超过 90%, 呈现高度集中的格局 国内企业中, 中微半导体的介质刻蚀领域 北方华创的硅刻蚀机和金属刻蚀机国内领先, 尤其是中微半导体介质刻蚀机, 由 28nm-10nm-7nm 不断进步, 最先进一代的 7nm 刻蚀机已经进入台积电产线, 成为国内极少数的进入国际一流代工厂最先进工艺的集成电路设备 图表 44 刻蚀设备市场竞争格局 资料来源 :Factor & Equilibrium, 各公司官网, 平安证券研究所 (4) 离子注入设备 请务必阅读正文后免责条款 29 / 43

一般而言, 本征硅 ( 即最原始不含杂质的硅单晶 ) 导电性能很差, 只有当硅中加入少量杂质, 使其结构和电导率发生改变时, 硅才成为真正有用的半导体 这个过程被称为掺杂, 离子注入是最主要的掺杂方法 离子注入机是离子注入工艺最重要的设备, 离子注入机一般包括 5 个部分, 分别是离子源 引出电极 ( 吸极 ) 和离子分析器 加速管 扫描系统和工艺室 离子注入机具体的工作流程是 : 离子源从源材料中产生带正电荷的杂质离子, 被吸出后, 用质量分析仪将它们分开以形成需要掺杂离子的束流, 这些离子束在电场中加速, 使其拥有足够的动能注入到硅片的晶格结构中 离子束扫描整个硅片, 使硅片表面均匀掺杂, 最后通过退火工艺 ( 利用高温炉加热 ) 激活晶格结构中的杂质离子 图表 45 离子注入机示意图 资料来源 : 凯世通, 平安证券研究所全球离子注入机龙头为美国应用材料 美国 Axcelis 台湾汉辰科技 日本住友 日新公司 日本真空等公司, 其中美国应用材料公司和美国 Axcelis 公司是全球第一大和第二大离子注入机厂家, 占据全球接近 90% 的市场份额, 市场集中度非常高 国内企业中, 只有凯世通和中科信具备集成电路离子注入机的研发和生产能力 2011 年, 凯世通 中科信联合清华大学 北京大学和中科院一起承担了国家 02 专项 的 45-22nm 超低能注入设备研发与产业化, 凯世通负责核心部件 离子源和低能减速系统的配套部件系统 目前凯世通离子注入机广泛运用于太阳能电池 AMOLED 等领域, 属于国内领先, 集成电路离子注入机目前正处于验证阶段 2018 年, 上市公司万业企业拟收购凯世通, 助推我国离子注入机企业进入资本市场 2017 年年底, 中科信 90-65nm 大角度离子注入机研发及产业化 项目以较高分数通过国家重大专项验收, 多种型号的 45-28nm 离子注入机通过国际尖端工艺的验证 请务必阅读正文后免责条款 30 / 43

图表 46 离子注入机国内外主要竞争者 资料来源 : 万业企业公司公告, 平安证券研究所 (5)CVD 设备薄膜指一种在衬底上生长的薄固体物质, 集成电路制造中的薄膜沉积指在硅片衬底上沉积一层膜的工艺, 这层膜可以是导体 绝缘物质或者半导体材料, 导电薄膜层或者绝缘薄膜层对于能否在硅衬底上成功制作出半导体器件而言至关重要 通俗的理解, 沉积的金属连线是连接各个器件的导线, 沉积的绝缘物质相当于包住导线的绝缘层 薄膜沉积分为化学工艺和物理工艺, 常见工艺即化学气相沉积 (CVD) 和物理气相沉积 (PVD) 化学气相沉积是通过气体混合的化学反应在硅片表面沉积一层固体膜的工艺 CVD 的具体工艺流程包括 : 1) 气体传输至沉积区域 : 反应气体从反应腔入口区域流动到硅片表面的沉积区域 2) 膜先驱物的形成 : 气相反应导致膜先驱物 ( 将组成膜最初的原子和分子 ) 和副产物的形成 ; 3) 膜先驱物附着在硅片表面 : 大量的膜先驱物输运到硅片表面 4) 膜先驱物的粘附 : 膜先驱物粘附在硅片表面 5) 膜先驱物的扩散 : 膜先驱物向膜生长区域的表面扩散 6) 表面反应 : 表面化学反应导致膜沉积和副产物的生成 7) 副产物从表面移除 : 吸附 ( 移除 ) 表面反应的副产物 8) 副产物从反应腔移除 : 反应的副产物从沉积区域随气流流动到反应腔出口并排出 图表 47 CVD 传输与反应步骤 资料来源 : 半导体制造技术, 平安证券研究所 请务必阅读正文后免责条款 31 / 43

目前 CVD 需要用到 CVD 设备, 包括 APCVD( 常压 CVD) LPCVD( 低压 CVD) 和等离子体辅助 CVD 三种设备最主要的区别在于 APCVD 系统在常压环境下工作 ;LPCVD 在中等真空度下进行, 反应温度一般为 300-900 ; 等离子体辅助 CVD 在真空腔中工作, 反应温度一般为 250-450, 等离子体辅助 CVD 进一步又可以细分为等离子体增强 CVD(PECVD) 和高密度等离子体 CVD(HDPCVD), 以 PECVD 为主流 图表 48 各种类型的 CVD 系统及其优缺点 工艺优点缺点应用 APCVD 反应简单, 低温, 沉积速 度快 台阶覆盖能力差, 有颗粒污 染, 低产出率 低温二氧化硅 ( 掺杂或不 掺杂 ) LPCVD 高纯度和均匀性, 一致的台阶覆盖能力, 大的硅片 容量 高温 (300-900 ), 低的沉积 速率, 需要更多的维护, 要 求真空系统支持 高温二氧化硅 ( 掺杂或不掺杂 ) 氮化硅 多晶硅 钨等 等离体子辅助 CVD 低温 (250-450), 快速沉 积 好的台阶覆盖能力, 好的间隙填充能力 要求 RF( 射频 ) 系统, 高成本, 压力远大于张力, 化学物质 和颗粒污染 高的深宽比间隙的填充, 金属上的低温二氧 化硅等 资料来源 : 半导体制造工艺, 平安证券研究所全球 CVD 市场上, 应用材料占据龙头地位, 全球市场份额达到 30%, 其次是东京电子和拉姆研究, 市场集中度较高 国内在 CVD 设备方面, 北方华创的 LPCVD, 以及沈阳拓荆的 PECVD, 已通过主流晶圆代工厂验证, 实现了小批量的设备交付 图表 49 我国 CVD 市场竞争格局 资料来源 :Gartner, 平安证券研究所 (6)PVD 设备 PVD 沉积金属属于集成电路工艺的金属化环节, 金属化是芯片制造过程中在绝缘截止薄膜上沉积金属薄膜以及随后刻印图形以便形成互连金属线和接触孔或通孔连接 高性能的微处理器用金属线在一个芯片上连接几千万个器件 金属化传统方法均是物理过程, 近年来逐步开始采用 CVD 化学反应来沉积部分金属 物理气相沉积 (PVD) 最常用的方法是蒸发和溅射 蒸发 : 在真空系统中, 金属原子获得足够的能量后便可以脱离金属表面的束缚成为蒸汽原子, 在其运动过程中遇到晶片, 就会在晶片上沉积, 形成金属薄膜 溅射 : 在真空系统中, 充入一定的惰性气体 Ar, 在高压电场的作用下, 由于气体放电形成离子, 这些离子在强电场作用下被加速, 然后轰击靶材料, 使其原子逸出并被溅射到晶片上, 形成金属膜 采用这种方法可以沉积各种合金和难熔金属薄层, 是目前集成电路工艺中广泛采用的形成金属膜的方法 请务必阅读正文后免责条款 32 / 43

溅射 PVD 一般拥有六个流程 : 1) 在高真空腔等离子体中产生正氩离子, 并向具有负电势的靶材料加速 2) 在加速过程中离子获得能量, 并轰击靶 3) 离子通过物理过程从靶上撞击出 ( 溅射 ) 原子, 靶具有想要的材料组分 4) 被撞击出 ( 溅射 ) 的源自迁移到硅片表面 5) 被溅射的原子在硅片表面凝聚并形成薄膜 6) 额外材料由真空泵抽走 图表 50 溅射 PVD 示意图 资料来源 : 半导体制造工艺, 平安证券研究所目前全球 PVD 市场高度垄断, 应用材料一家独大, 占据全球超过 85% 的市场份额 内资企业北方华创实力领先, 公司 28nm 氮化钛硬掩膜 (Hardmask PVD) Al-Pad PVD 设备已率先进入中芯国际供应链体系, 成为国产 PVD 的佼佼者 (7)CMP 设备硅片制造过程中, 通过刻蚀 离子注入形成各器件, 然后通过薄膜沉积形成金属连线或绝缘层 目前先进的 IC 需要 6 层甚至更多的金属布线层, 每一层由层间介质 (ILD) 隔开 但是, 这种制作方法难以避免出现表面起伏现象, 即硅片上的器件结构和多层内连线出现高低不平的台阶, 层数越多, 表面起伏越显著, 因此需要通过适当的方法将硅片进行局部或者全局的平坦化 传统的平坦化方法包括反刻 玻璃回流和旋涂膜层, 但是这些方法无法满足先进工艺的需要, 目前最主流的方法是化学机械抛光 (CMP) CMP 具体的工作原理是抛光机的抛光头夹持住硅片相对抛光垫做高速运动, 抛光液在硅片和抛光点之间连续流动, 抛光液中的氧化剂不断接触裸露的硅片表面, 产生氧化膜, 然后借助抛光液中的微粒机械研磨作用去除氧化膜 这个过程中, 凹处的氧化膜难以被去除, 凸出的氧化膜被去除, 裸露 请务必阅读正文后免责条款 33 / 43

出新的表面, 然后再次产生氧化膜, 再次被去除, 如此反复作用, 使得最后的抛光表面形成光洁表 面, 达到全局平整化 图表 51 CMP 工艺及机台设备示意图 资料来源 : 华海清科官网, 平安证券研究所 CMP 需要使用 CMP 机台和耗材, 耗材以抛光液和抛光垫为主, 全球市场被日本和美国的几家材料公司垄断 CMP 机台市场被应用材料和日本的 Ebara 高度垄断, 两者市占率接近 90% 国内市场上, 华海清科和电科装备 45 所是主要的研发力量,2015 年 11 月, 两家公司联合参与的 02 专项 28-14nm 抛光设备及工艺 配套材料产业化 正式获批 华海清科 12 英寸 CMP 设备于 2016 年 3 月获得客户验收采购, 国产首台 8 英寸 CMP 设备于 2017 年 8 月实现出厂销售 ; 电科装备 45 所自主研发的 8 英寸 CMP 商用机完成了内部测试, 于 2017 年 11 月发往中芯国际天津公司进行上线验证 图表 52 国内外 CMP 设备市场竞争格局 资料来源 : 各公司官网, 平安证券研究所总结而言 : 晶圆加工设备技术壁垒高 竞争格局高度集中, 应用材料 拉姆研究 东京电子三大龙头遥遥领先其他竞争对手 国内设备中, 仅有中微半导体的介质刻蚀机成功进入了国际一流 IC 制造厂的最先进工艺线, 其他设备部分已经小批量销售, 部分还在验证阶段, 到大批量销售还要一段路程要走 图表 53 国内晶圆加工设备的领先企业及发展阶段 企业设备阶段 中微半导体介质刻蚀机进入台积电 7nm 工艺线 北方华创 氧化炉 (8 英寸 12 英寸 90nm/65nm/45nm/28nm) 硅 / 金属刻蚀机 小批量销售 请务必阅读正文后免责条款 34 / 43

(28nm 实现销售 ) LPCVD( 验证阶段 ) PVD(28nm 成为中芯国际 Baseline) 沈阳拓荆 PECVD 40-28nm 小批量销售 上海微电子 光刻机 90nm 后道封测阶段小批量销 华海清科 CMP 设备中芯国际小批量销售 电科装备 45 所 凯世通 CMP 设备 离子注入机 售 8 英寸装备进入中芯国际 ( 天津 ) 验证阶段 太阳能领域领先, 集成电路领域 处于验证阶段 中科信离子注入机 45-28nm 刚通过验证 资料来源 : 公司公告, 公司官网, 平安证券研究所 集成电路设备行业研发投入高, 研发投入占比一般 10%-15% 2017 财年国际巨头应用材料 拉姆 研究 东京电子 ASML 研发投入分别为 17.7 亿 /11.9 亿 /8.7 亿 /14.7 亿美元, 收入占比分别为 12%/11%/9%/14% 国内龙头北方华创由于体量尚小, 研发投入 1.1 亿美元, 收入占比 33% 国际 巨头研发投入远高于国内企业 国内企业还需要借助政策优势, 继续加大研发投入, 突破核心技术 图表 54 海外巨头研发投入远高于国内龙头 20 18 16 14 12 10 8 6 4 2 0 2016 财年研发费用 ( 亿美元 ) 2017 财年研发费用 ( 亿美元 ) 2016 财年研发费用占比 (%) 2017 财年研发费用占比 (%) 17.7 15.4 47% 14.7 11.9 12.9 33% 10.3 8.7 7.5 14% 16% 12% 13% 14% 11% 10% 9% 1.1 1.1 50% 45% 40% 35% 30% 25% 20% 15% 10% 5% 0% 资料来源 :wind, 平安证券研究所我们认为, 对于晶圆加工设备企业而言, 首要目标是获得中芯国际 长江存储等国内制造厂的认可, 伴随大陆晶圆厂投资高峰的到来而成长 其次是重点发力优势产品, 早日打进国际最先进的工艺领域, 从而进军全球集成电路设备市场 3.3 检测和清洗设备率先突破, 内资企业大有机会 除晶圆加工设备外, 还需要配备相关的辅助设备, 包括检测设备 清洗设备等, 这些设备贯串加工制造的全流程, 在多个环节需要用到 相比晶圆加工设备而言, 辅助设备技术难度要低一些, 进口替代的节奏有望早于晶圆加工设备 (1) 光学测量和缺陷检测半导体制造环节的检测流程分为前道检测和后道检测 其中, 前道检测主要分为光学测量和缺陷检测, 统称为质量测量 后道检测通常包括晶圆测试和成品测试 其中光学测量是测量制造工艺的性能以确保质量规范标准的一种方法, 缺陷检测用于检测硅片表面缺陷从而提供特征信息的方法 在 请务必阅读正文后免责条款 35 / 43

半导体全部制造环节中, 在每个重要流程之后均伴随质量测量, 通过收集测试样片或者生产硅片上的大量数据, 从而说明硅片生产的工艺是否满足要求 集成电路的质量测量包括测试膜厚 膜应力 折射率 掺杂浓度 表面缺陷 关键尺寸 (CD) 等 12 种参数, 这些不同的质量测量用于不同的制造环节, 某些环节需要多种类型的质量测量 相关设备可以分为光学测量设备 缺陷检查设备等 ( 部分统计统计口径将此部分设备计入晶圆加工设备, 我们按照设备运行原理归为检测设备 ) 图表 55 不同质量测量含义 测量方法及应用环节 质量测量测量含义测量方法及设备应用环节 膜厚测量不同类型膜的厚度四探针法 扩散 薄膜 抛光 刻蚀 光刻 方块电阻测量膜上的电阻四探针法注入 扩散 薄膜 膜应力 折射率 掺杂浓度 无图形表面缺 陷 有图形表面缺陷 关键尺寸 (CD) 台阶覆盖 套刻标记 电容 - 电压 (C-V) 特性 接触的角度 薄膜上可能引入强的局部应力, 导致衬底变形, 利用薄层应力测 量工具可以测量这种形变 折射率的改变表明薄层中有沾 污, 并造成厚度测量不正确 掺杂浓度直接影响到半导体性能 测试裸硅片或有一些空白薄膜的硅片的表面, 提供工艺条件的 特征信息 测试有图形硅片的表面缺陷, 包括颗粒 划伤和图形缺陷 关键尺寸是硅片上的最小特征尺寸, 通俗的称为 技术节点 良好的台阶覆盖要求厚度均匀 的材料覆盖于台阶的全部区域, 包括侧墙和拐角 测量光刻机和光刻胶图形与刻 蚀图形的对准程度 测试栅氧化区域的离子污染情 况 测量液体与硅片表面的粘附性, 计算表面能或粘附性力 测试硅片的曲率 利用椭偏仪测量 利用四探针法 红外线干涉和延长电阻探针来 测试 光学显微镜测量 光学显微镜测量 扫描电子显微镜 (SEM) 测量 台阶仪测量 自动套刻测量仪测量 专用的 C-V 测试法 接触角度仪 扩散 薄膜扩散注入 扩散注入 扩散 薄膜 抛光 刻蚀 光刻刻蚀 光刻刻蚀 光刻抛光 刻蚀光刻扩散光刻 资料来源 : 半导体制造工艺, 平安证券研究所 备注 : 扩散区工艺包括氧化 沉积 扩散 退火和合金 请务必阅读正文后免责条款 36 / 43

图表 56 半导体光学测量设备和缺陷检查设备 资料来源 : 科磊半导体, 平安证券研究所目前集成电路测量设备全球主要的供应商是科磊半导体 (KLA-Tencor), 占据全球超过 52% 的市场份额, 其次是应用材料 (12%) 和日本日立 (11%), 市场格局集中度较高, 科磊半导体在测量设备领域优势突出 国产企业主要代表是上海睿励科学仪器公司, 睿励科学目前具备 12 英寸全自动光学膜厚测量系统 12 英寸全自动光学关键尺寸和形貌测量系统 自动宏观缺陷检测系统等测量设备, 国内技术领先, 其 300mm 硅片光学测量设备 (TFX3000) 于 2014 年获得三星数台订单, 并于 2018 年获得三星的重复订单 此外, 上海微电子晶圆缺陷自动检测设备于 2018 年 8 月顺利通过了专业公司的安全认证测试, 获得 SEMI S2 认证证书 图表 57 全球质量测量设备市场竞争格局 资料来源 : 科磊半导体, 平安证券研究所 (2) 电学检测设备 请务必阅读正文后免责条款 37 / 43

集成电路的电学测试在芯片工艺的不同阶段进行, 包括 IC 设计验证 晶圆检测环节和成品测试环节, 其中设计验证阶段需要用到测试机 分选台 探针台 ; 晶圆检测阶段需要用到测试机和探针台 ; 成品测试阶段需要测试机和分选机 这三个环节的检测均为电学测试, 通常我们将晶圆测试和成品测试阶段称为后道检测 图表 58 三类电学测试及所需设备 环节具体介绍所需设备 设计验证环节 晶圆检测环节 成品测试环节 设计验证指芯片设计公司分别使用测试机和探针台 测试机和分选机对晶圆样品检测和集成电路封装样品 的成品测试, 验证样品功能和性能的有效性 晶圆检测是指在晶圆制造完成后进行封装前, 通过探 针台和测试机配合使用, 对晶圆上的芯片进行功能和 电参数性能测试 成品测试是指芯片完成封装后, 通过分选机和测试机 配合使用, 对集成电路进行功能和电参数性能测试, 保证出厂的每颗集成电路的功能和性能指标能够达 到设计规范要求 测试机 分选台 探针台 探针台 测试机 测试机 探针台 资料来源 : 长川科技招股说明书, 平安证券研究所在电学检测环节中, 核心设备包括测试机 探针台和分选机 测试机指能够在测试结构上快速 准确 重复地测量亚微安级电流和微法级电容的自动测试设备 (ATE) 探针台和分选机分别在晶圆检测阶段和封装成品状态下批量测试时使用的机电一体化设备, 其最重要的功能是实现自动化测试, 测试机分别与探针台和分选机配合使用 目前国内外测试设备商各有侧重, 外资品牌如泰瑞达 (Teradyne) 主要产品为测试机 爱德万 (Advantest) 主要产品为测试机和分选机, 科利登 (Xcerra) 主要产品为测试机, 东京电子 (Tokyo Electron) 主要产品为探针台, 内资品牌中长川科技主要产品为测试机和分选机, 北京华峰主要产品为测试机, 上海中艺主要产品为分选机 目前, 该电学检测设备市场集中度很高, 其中测试机主要被爱德万和泰瑞达垄断, 分选机被爱德万 科休半导体 爱普生等企业垄断, 探针台被东京电子 东京精密和伊智所垄断 国内龙头长川科技已经布局测试机和分选机市场, 积极研发探针台 图表 59 国内外电学检测设备主要竞争者 公司 主要产品 外资 内资 泰瑞达爱德万科利登科休半导体爱普生东京电子东京精密长川科技北京华峰上海中艺 测试机测试机 分选机测试机分选机分选机探针台探针台测试机 分选机测试机分选机 资料来源 : 长川科技招股说明书, 各公司官网, 平安证券研究所 请务必阅读正文后免责条款 38 / 43

我国集成电路材料 装备 设计 制造 封测五大环节中, 封测水平最为领先, 诞生了如长电科技 通富微电 华天科技 晶方科技四大封测厂, 长电科技 通富微电和华天科技甚至跻身全球前十品牌 长电科技等封测厂是电学检测设备商的重要客户, 在我国集成电路检测设备中, 电学检测设备有望率先取得突破 目前长川科技的测试机和分选机等产品已获得长电科技 华天科技 通富微电 士兰微 华润微电子 日月光等多家一流企业的认可和使用, 探针台的研发也在顺利开展, 未来市场占有率有望逐步提升 上海华峰承担了 02 专项 的 高端模拟 / 混合电路测试系统 于 2017 年获得验收 国内面板检测龙头精测电子正积极布局集成电路光学检测和电学检测设备, 凭借公司面板检测领域的多年布局, 公司半导体检测有望取得新突破 (3) 清洗设备集成电路制造过程中硅片清洗的目标是去除所有表面沾污, 包括颗粒 有机物 金属和自然氧化层 目前占统治地位的硅片清洗方法是湿法清洗 清洗的具体流程是利用硫酸 超纯水等物质按顺序清洗, 去除污染物质 湿法清洗设备分为单片清洗设备和槽式清洗设备, 槽式清洗设备可以批量清洗硅片, 但是可能会导致互相污染现象 随着半导体技术节点的不断迭代, 对于沾污的控制要求越来越严格, 清洗设备更多的向单片式发展 目前, 全球湿法清洗设备中, 接近 8 成的设备为单片式, 剩下 2 成为槽式 根据 Transparency Market Research(TMR) 研究数据,2015 年全球单片式清洗设备市场规模约为 26.4 亿美元, 预计到 2020 年将超过 36.7 亿美元,CARG 为 6.8% 图表 60 全球单片式清洗设备市场空间 单片式清洗设备市场规模 ( 亿美元 ) 40 35 30 25 20 15 10 5 0 26.4 36.7 资料来源 :TMR, 平安证券研究所在清洗设备全球竞争格局中, 迪恩士 (SCREEN) 东京电子和拉姆研究占据榜单前三, 合计市占率接近 90%, 其中迪恩士一家占比超过 50%, 是全球绝对的龙头 国内企业中, 盛美半导体是国产清洗设备的优秀代表, 主流产品得到国际一流品牌包括中芯国际 长江存储 SK 海力士等企业的认可 北方华创收购美国 Akrion, 进一步拓展公司槽式和单片式清洗设备 此外, 至纯科技也在积极布局集成电路清洗设备, 已经形成 6 台订单的销售 请务必阅读正文后免责条款 39 / 43

图表 61 国内外集成电路清洗设备竞争格局 资料来源 : 各公司官网, 平安证券研究所总结而言 : 检测设备和清洗设备贯串集成电路制造各个环节, 由于运用广泛, 部分设备技术难度略低于前道设备 目前, 全球检测设备和清洗设备竞争格局同样高度集中, 但是国内企业在电学检测 清洗设备突破顺利, 包括长川科技 北京华峰的检测设备 北方华创 盛美半导体和至纯科技的清洗设备均有十足的进步 在光学检测领域中, 上海睿励的光学检测设备国内领先, 精测电子正积极布局, 未来 2-3 年内有望开花结果 我们认为, 国内检测设备和清洗设备率先突破, 在获得国内品牌认可之后, 抢占更多的全球份额, 相关领域有望诞生出大体量的设备公司 图表 62 国内检测设备和清洗设备的领先企业及发展阶段 企业设备阶段 长川科技 测试机和分选机 进入长电科技 华天科技 日月 光等多家一流企业的产线 北京华峰测试机进入国内一流封测厂 盛美半导体 北方华创 清洗设备 清洗设备 进入中芯国际 长江存储 SK 海力士等企业的产线 28nm 单片清洗机形成批量销售 至纯科技 清洗设备 小批量订单进入中芯国际 上海睿励 光学检测设备 获得三星的重复订单 精测电子 检测设备 积极布局光学检测和电学检测 资料来源 : 公司公告, 公司官网, 平安证券研究所 四 投资建议 我们认为, 全球半导体设备行业发展有如下特征 : 1. 市场空间足够大 全球半导体设备数百美元的市场, 诞生了多家营业收入接近 100 亿美元的大市值公司 2. 竞争格局高度集中 全球半导体设备商 CR5 占比 64%,CR10 占比 73%, 竞争格局高度集中 细分设备行业如光刻机 刻蚀机 薄膜设备竞争格局同样高度集中 3. 龙头企业产品布局丰富 除 ASML 之外, 全球设备龙头均布局多类产品, 如全球龙头应用材料产品线包括薄膜设备 刻蚀设备 离子注入机 CMP 设备等, 几乎涵盖了除光刻机之外的全部前道设备 请务必阅读正文后免责条款 40 / 43

4. 研发投入居高不下 集成电路行业伴随摩尔定律不断进步, 设备龙头企业必须始终保持高研发投入 ( 每年超过 10 亿美元 ) 才能持续保持领先优势 反观国内 : 1. 相比市场空间, 国内设备公司体量较小 根据 SEMI 数据, 大陆半导体设备市场空间 2018 年将达到 118 亿美元, 最小的细分设备市场都不低于 5 亿美元 目前国内最大的半导体设备公司收入体量约 10 亿元人民币, 相比市场空间, 国内设备公司完全有机会成长大市值公司 2. 内资设备企业数量少 除外资企业外, 目前国内每一类设备主要的竞争者均不超过 3 家, 良好的竞争格局决定了目前国内企业主要的任务是进口替代, 相互间竞争的时刻远未到来 3. 设备自制率提升意愿强烈 近几年, 国内半导体设备平均自制率仅为 16%, 在中兴事件的刺激下, 我国提升半导体设备自制率意愿强烈, 给国内半导体设备厂商带来了非常好的发展机遇 图表 63 半导体设备国内外主要代表 资料来源 : 各公司官网, 平安证券研究所技术的突破总是由易到难, 最终实现弯道超车 我们认为 :1. 技术难度不是最高的设备有望率先突破, 如清洗设备 后道检测设备均有所突破, 有望率先受益, 建议关注长川科技 至纯科技 2. 晶圆加工设备技术难度高, 但是在国家大力支持以及企业持续不断的研发投入下, 具备研发实力的公司一旦突破核心技术后, 有望享受到巨大的市场红利, 推荐北方华创 北方华创 (002371) 国产半导体设备集大成者北方华创由七星电子和北方微电子重组而来, 主营半导体设备 真空设备 锂电设备 精密电子元器件 4 类业务 半导体设备领域, 公司拥有刻蚀机 PVD CVD 氧化炉 扩散炉 MFC 清洗机 7 类设备, 是国内半导体设备龙头企业, 客户包括中芯国际 华力微电子 长江存储等国内一线半导体制造商 目前公司半导体设备收入占比已经超过 50%, 借力行业高景气度, 公司发展驶入快车道 2018 年上半年公司实现营业收入 13.95 亿元 (+33.44%), 归母净利润 1.19 亿元 (+125.44%) 公司作为国内半导体设备龙头, 拥有三大核心竞争优势 : 品类齐全 ( 拥有芯片制造前段工艺的 7 大设备, 国内公司中最齐全 ) 技术领先(28nm 设备已经量产,14nm 设备开始工艺验证, 国内公司中最前端 ) 竞争格局良好( 行业门槛高, 国内几乎无相同体量相同赛道的竞争对手 ) 公司成长逻辑清晰: 未来几年, 大陆将迎来晶圆厂投建高峰期, 半导体设备需求快速上升 公司成熟工艺设备率先放量, 请务必阅读正文后免责条款 41 / 43

先进设备加紧研发 随着公司成熟工艺设备的放量 新兴设备跟随中芯国际共同研发, 在不久的将 来有望放量, 公司将呈现阶梯式发展趋势 长川科技 (300604) 国产半导体检测设备龙头长川科技主营半导体电学检测设备, 核心产品包括测试机和分选机, 是国产检测设备龙头企业 公司测试机和分选机已经获得长电科技 华天科技 通富微电 士兰微 华润微电子 日月光等多个一流集成电路企业的使用和认可 2018 年上半年公司实现营业收入 1.16 亿元 (+76.78%), 归母净利润 0.25 亿元 (+47.58%) 公司作为国产半导体检测设备龙头, 客户资源丰富 凭借领先的技术优势和先发优势, 享受了较高的利润水平,2016 年 /2017 年 /2018H1 公司综合毛利率分别为 59.67%/57.10%/61.47%, 净利率分别为 33.36%/27.95%/21.64% 我们认为, 国产半导体设备中, 检测设备和清洗设备率先突破, 公司作为检测设备龙头, 未来几年, 业绩上涨有所保障 至纯科技 (603690) 高纯工艺系统供应商, 积极布局清洗设备至纯科技主要为电子 生物医药等先进制造业企业提供高纯工艺系统的整体解决方案, 产品包括高纯工艺系统和高纯工艺设备 公司下游运用中, 半导体领域占比最高 (2018 年上半年占比为 70%), 客户包括上海华力 中芯国际 长江存储 合肥长鑫 士兰微 南京台积电 无锡海力士 西安三星等众多国内外半导体制造企业 2018 年上半年公司实现收入 1.88 亿元 (+19.13%), 归母净利润 0.19 亿元 (-23.71%) 上半年公司新签订单 5.47 亿元, 确保今明两年公司业绩增长无忧 公司于 2017 年形成了槽式湿法清洗设备和单片式湿法清洗设备产品系列, 并取得了 6 台的批量订单 公司湿法事业部目标是未来 5 年内有超过 200 台各类湿法清洗机台的装机量, 将形成公司第二大主营业务 伴随大陆晶圆厂投资加速, 公司高纯工艺系统和清洗设备均有望放量, 业绩得以持续提升 五 风险提示 1) 国内晶圆厂投资不及预期 未来几年, 大陆将有 20 余座晶圆厂建设, 将带动半导体设备需求增长 如果晶圆厂投资落地数量或进度不及预期, 则设备需求增速或放缓, 半导体设备公司业绩增长可能不达预期 2) 国内设备技术进步不及预期 半导体设备行业门槛高, 技术难度大, 如果国产设备企业技术研发不足或技术突破不及预期, 将严重影响到国产设备的进口替代的节奏 3) 竞争加剧的风险 半导体设备行业高度垄断, 随着大陆市场的快速成长, 外资巨头加大对大陆市场的重视程度, 大陆半导体市场竞争可能加剧, 影响到国内相关公司的发展 4) 国内先进工艺研发不及预期 我国半导体设备市场主要依赖国产晶圆厂的投建和扩建, 如果国内先进工艺 ( 包括代工厂和存储器工艺 ) 的研发不及预期, 将会影响到部分晶圆厂投扩建节奏, 进而影响到设备招标采购节奏 请务必阅读正文后免责条款 42 / 43

平安证券综合研究所投资评级 : 股票投资评级 : 强烈推荐 ( 预计 6 个月内, 股价表现强于沪深 300 指数 20% 以上 ) 推荐 ( 预计 6 个月内, 股价表现强于沪深 300 指数 10% 至 20% 之间 ) 中性 ( 预计 6 个月内, 股价表现相对沪深 300 指数在 ±10% 之间 ) 回避 ( 预计 6 个月内, 股价表现弱于沪深 300 指数 10% 以上 ) 行业投资评级 : 强于大市 ( 预计 6 个月内, 行业指数表现强于沪深 300 指数 5% 以上 ) 中性 ( 预计 6 个月内, 行业指数表现相对沪深 300 指数在 ±5% 之间 ) 弱于大市 ( 预计 6 个月内, 行业指数表现弱于沪深 300 指数 5% 以上 ) 公司声明及风险提示 : 负责撰写此报告的分析师 ( 一人或多人 ) 就本研究报告确认 : 本人具有中国证券业协会授予的证券投资咨询执业资格 平安证券股份有限公司具备证券投资咨询业务资格 本公司研究报告是针对与公司签署服务协议的签约客户的专属研究产品, 为该类客户进行投资决策时提供辅助和参考, 双方对权利与义务均有严格约定 本公司研究报告仅提供给上述特定客户, 并不面向公众发布 未经书面授权刊载或者转发的, 本公司将采取维权措施追究其侵权责任 证券市场是一个风险无时不在的市场 您在进行证券交易时存在赢利的可能, 也存在亏损的风险 请您务必对此有清醒的认识, 认真考虑是否进行证券交易 市场有风险, 投资需谨慎 免责条款 : 此报告旨为发给平安证券股份有限公司 ( 以下简称 平安证券 ) 的特定客户及其他专业人士 未经平安证券事先书面明文批准, 不得更改或以任何方式传送 复印或派发此报告的材料 内容及其复印本予任何其他人 此报告所载资料的来源及观点的出处皆被平安证券认为可靠, 但平安证券不能担保其准确性或完整性, 报告中的信息或所表达观点不构成所述证券买卖的出价或询价, 报告内容仅供参考 平安证券不对因使用此报告的材料而引致的损失而负上任何责任, 除非法律法规有明确规定 客户并不能仅依靠此报告而取代行使独立判断 平安证券可发出其它与本报告所载资料不一致及有不同结论的报告 本报告及该等报告反映编写分析员的不同设想 见解及分析方法 报告所载资料 意见及推测仅反映分析员于发出此报告日期当日的判断, 可随时更改 此报告所指的证券价格 价值及收入可跌可升 为免生疑问, 此报告所载观点并不代表平安证券的立场 平安证券在法律许可的情况下可能参与此报告所提及的发行商的投资银行业务或投资其发行的证券 平安证券股份有限公司 2018 版权所有 保留一切权利 平安证券综合研究所电话 :4008866338 深圳上海北京 深圳市福田区益田路 5033 号平安金 融中心 62 楼 邮编 :518033 上海市陆家嘴环路 1333 号平安金融大厦 25 楼邮编 :200120 传真 :(021)33830395 北京市西城区金融大街甲 9 号金融街 中心北楼 15 层 邮编 :100033