图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成

Size: px
Start display at page:

Download "图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成"

Transcription

1 文 / 兴泰资本杨淼 刘杨 一 集成电路产业概述集成电路也称为集成块 芯片, 在我国港台地区称为积体电路, 它的英文全称是 Integrated Circuit, 缩写为 IC, 是半导体行业的重要组成部分,2017 年全球份额占比高达 83% 集成电路是将晶体管 二极管等有源元件和电阻器 电容器等无源元件, 采用一定的工艺, 按照一定的电路互联, 集成在一块半导体单晶片上, 后封装在一个管壳内, 成为具有特定的电路或者系统功能的微型结构, 包括模拟电路 (Analog) 微处理器(Micro) 逻辑电路(Logic) 储存器(Memory) 等 ( 一 ) 集成电路产业链介绍集成电路产业链主要包括材料 设备 设计 制造 封装测试及行业应用等, 上游为材料 设备, 中游为设计 制造 封装测试, 下游为行业应用 集成电路核心产业链流程可以简单描述为 :IC 设计公司根据下游户 ( 系统厂商 ) 的需求设计芯片, 然后交给晶圆代工厂进行制造, 这些 IC 制造公司主要的任务就是把 IC 设计公司设计好的电路图移植到晶圆厂制造好的晶圆上 完成后的晶圆再被送往下游的 IC 封测厂, 由封装测试厂进行封装测试, 最后将性能良好的 IC 产品出售给系统厂商 本文主要讲述处于产业链上游的材料 设备行业 1

2 图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成电路产业三业( 设计 制造 封测 ) 结构合理占比的 3:4:3 相比, 国内半导体产业呈现出了 两头强, 中间弱 的特点, 材料 设备行业的发展有待提高 ( 二 ) 集成电路发展特征 1 集成电路产业重心转移到中国大陆集成电路产业历经 3 次转移, 其中心正迁至中国 上世纪四五十年代随着军用 商用计算机的出现, 至 70 年代硅谷形成, 美国成为世界上集成电路的领头人 ;80 年代日本政府与产业界经过努力开发基于 DRAM 的 IDM 商业模式, 实现集成电路的崛起, 超越美国在全球市场处于领先地位 ; 之后韩国抓住 PC 端消费机遇, 加上政府与财团的支持, 在集成电路产业中抢占了市场先机, 在 DRAM 市场达到 80% 2

3 的占有率, 一举将产业重心迁至韩国 ; 中国台湾在 60 年代切入 IC 后段封装测试, 受益于期初外企在台设厂与 80 年代垂直分工模式加深, 以及本地企业如台积电等崛起, 依靠晶圆代工带动全产业链发展 ; 在目前集成电路行业景气周期中, 中国大陆成为最大的消费市场,2017 年中国集成电路产业销售额达到 亿元, 产业大战速度全球领先, 行业重心正迁至中国大陆 图 1-2 集成电路产业重心迁移路线 2 我国集成电路供需缺口持续扩大目前, 中国是全球最大的集成电路下游市场, 其中 12 寸硅片基本完全依赖进口 自 2013 年来, 中国集成电路进口额连年超过 2000 亿美元, 根据海关统计,2017 年中国进口集成电路 3770 亿块, 同比增长 10.1%, 进口金额 亿美元, 同比增长 14.6%, 同比增速 5 年来稳定在 10% 左右 ;2017 年中国出口集成电路 亿块, 同比增长 13.1%, 出口金额 亿美元, 同比增长 9.8%; 进出口逆差高达 亿美元, 供需缺口持续扩大 此外, 如热处理设备 光刻机等集成电路设备基本依赖进口, 国产化率很低, 核心技术尚未完全突 3

4 破 图 年中国集成电路产品进口额与进口量 来源 : 半导体协会网站 图 年中国集成电路产品出口额与出口量 来源 : 半导体协会网站 4

5 二 材料行业分析集成电路材料是集成电路产业链的重要组成部分, 主要包括硅片 靶材 封装基板 湿电子化学品 电子气体 CMP 抛光材料 以及光刻胶等 由于集成电路制造与封测技术的复杂性, 从晶圆裸片到芯片成品, 中间需要经过氧化 溅镀 光刻 刻蚀 离子注入 以及封装等上百道特殊的工艺步骤, 其工艺技术的不断进步也带动了上游专用材料与设备产业的快速发展, 所以集成电路材料主要应用于晶圆制造与芯片封装环节 从国产化方面来说, 目前在本土产线上国产材料的使用率不足 15%, 高端制程和先进封装领域, 集成电路材料的国产化率更低, 本土材料的国产替代形势依然严峻, 且部分产品面临严重的专利技术封锁 图 2-1 芯片制造工艺流程 ( 一 ) 行业概况及国产化进程 1 行业特性集成电路材料行业具备产业规模大 细分行业多 技术门槛高 成本占比低四大特性 : 1) 产业规模大 : 根据 SEMI( 集成电路设备与材料协会 ) 的数据统 5

6 计,2016 年全球集成电路材料产业的市场规模达 443 亿美金, 对应 2016 年全球集成电路产业规模约在 3000 亿美元左右, 集成电路材料市场规模占比接近 15%; 2) 细分行业多 : 集成电路材料是集成电路产业链中细分领域最多的产业链环节, 其中晶圆制造材料包括硅片 光刻胶 光刻胶配套试剂 湿电子化学品 电子气体 CMP 抛光材料 以及靶材等, 芯片封装材料包括封装基板 引线框架 树脂 键合丝 锡球 以及电镀液等, 同时类似湿电子化学品中又包含了酸 碱等各类试剂, 细分子行业多达上百个 ; 3) 技术门槛高 : 集成电路材料的技术门槛一般要高于其他电子及制造领域相关材料, 其具备纯度要求高 工艺复杂等特征, 在研发过程中需要下游对应产线进行批量测试 同时对应芯片制造过程的不同, 下游厂商对材料使用需求的不同, 导致对应材料的参数也有所差异 ; 4) 成本占比低 : 虽然集成电路材料整体产业规模庞大, 但由于细分材料子行业众多, 导致了单个细分材料往往在集成电路生产成本中占比较低 以靶材为例, 集成电路靶材在集成电路材料中的占比约为 3%, 对应集成电路生产成本占比仅在 3-5 技术门槛高以及成本占比低导致了集成电路材料国产替代的进展要远低于面板以及消费电子相关领域 2 市场规模从全球市场来说, 根据 SEMI 报告显示,2016 年晶圆制造材料市 6

7 场为 247 亿美元, 封装材料市场为 196 亿美元, 合计 443 亿美元 相较于 2015 年晶圆制造材料市场的 240 亿美元及封装材料市场的 193 亿美元, 分别增长 3.1% 及 1.4% 在晶圆制造以及封装材料中, 硅片和封装基板分别是规模占比最大的细分子行业, 占比达 1/3 以上 国内 ( 不包括台湾地区 ) 集成电路材料市场 2016 年总规模达 651 亿人民币, 其中晶圆制造材料约为 331 亿人民币, 封装材料为 318 亿人民币, 在占全球集成电路材料市场规模比重超过 20%, 与中国大陆晶圆制造及封测产能全球占比基本保持一致 3 市场竞争从行业竞争格局看, 全球集成电路材料产业依然由日 美 台 韩 德等国家占据绝对主导, 国产集成电路材料的销售规模占全球比重不到 5%, 从整体技术水平和销售规模来看, 国产集成电路材料产业和海外化工及材料龙头仍存在较大差距 同时, 由于集成电路材料行业细分领域众多, 且不同的子行业在技术上存在较大差异, 因此集成电路材料行业各个子行业的行业龙头各不相同 比如在硅片领域, 日本信越化工 日本 SUMCO 台湾环球晶圆 德国 Siltronic 韩国 LG Silitron 占比全球前五, 在靶材领域, 日矿金属 霍尼韦尔 东曹 普莱克斯等为靶材行业的龙头 4 国产化进程国内集成电路工业的相对落后导致了材料产业起步较晚, 受到技术 资金 以及人才的限制, 国内集成电路材料产业总体表现出数量偏少 企业规模偏小 技术水平偏低 以及产业布局分散的特征 但 7

8 伴随国内代工制造生产线 存储器生产线 以及封装测试线的持续大规模建设, 国内集成电路材料市场规模快速增长 同时, 依靠产业政策导向 产品价格优势本土企业已经在国内市场占有一定的市场份额, 并逐步在个别产品或细分领域挤占国际厂商的市场空间 总体来看, 根据我国集成电路材料细分产品竞争力, 可以把中国集成电路材料产业分为三大梯队 : 第一梯队 : 靶材 封装基板 CMP 抛光材料 湿电子化学品, 引线框等部分封装材料 部分产品技术标准达到全球一流水平, 本土产线已实现中大批量供货 一方面看好未来 3 年龙头公司伴随本土产能扩大以及技术突破下业绩高速成长, 另一方面有望作为大基金率先介入的细分领域, 在海外人才引入, 产业链整合, 海外并购都方面得到跨越式发展 ; 第二梯队 : 电子气体 硅片 化合物集成电路 掩模版 个别产品技术标准达到全球一流水平, 本土产线已小批量供货或具备较大战略意义因此政策支持意愿强烈 硅片作为晶圆制造基础原材料, 推动硅片的发展体现了国家意志 ; 第三梯队 : 光刻胶 技术和全球一流水平存在较大差距, 目前基本未实现批量供货 细分领域来看, 部分产品已实现自产自销 其中, 国内集成电路材料在靶材 封装基板 研磨液等细分领域产品已经取得较大突破, 部分产品技术标准达到全球一流水平, 本土产线已基本实现中大批量供货 其中, 国产材料包括研磨液 靶材 电子气体 湿电子化学品 8

9 等在中芯国际的 8 寸线及 12 寸线上均有验证成功并上线使用, 包括 江丰电子的靶材及安集微电子的研磨液在中芯国际已经实现中大批 量供货 ( 二 ) 集成电路制造材料 硅片 1 硅片简介硅片又被称为硅圆晶片, 是集成电路制作中最为重要的原材料 硅片是以硅为材料制造的片状物体, 一般是由纯度很高的结晶硅制成的 与其他材料相比, 结晶硅的分子结构非常稳定, 很少有自由电子产生, 因此其导电性极低 集成电路器件则是通过对硅片进行光刻 离子注入等手段, 改变硅的分子结构进而提高其导电性, 最终获得的一种具备较低导电能力的产品 1.1 集成电路制造均使用单晶硅按照晶胞排列是否有序, 可以将硅片分为单晶硅和多晶硅, 但二者在力学 光学 热线 以及电学等物理性质上存在差异, 单晶硅的电学性质通常优于多晶硅 单晶硅是由一个晶料粒构成使得其光电转换效率更高, 在 18%~24% 左右, 而多晶硅片的光电转换效率在 15%~19% 左右 另外, 单晶硅的生产工艺难度 生产成本都高于多晶硅 图 2-2 单晶体和多晶体结构 9

10 在 IC 制造中所使用的晶圆均为单晶硅片, 具体的硅片规格又可分为抛光片, 外延片和 SOI 三大类 经过切割单晶硅锭得到的硅片首先经过抛光处理得到抛光片 PW( 再经过退火处理得到的 AW 退火晶片也归为抛光片的一种 ), 抛光片在经过外延处理形成外延片 EW( 或 EPI), 在经过 wafer bonding 形成 SOI 绝缘体上硅 目前 PW 抛光片通常广泛应用于功率器件 CPU/GPU 等逻辑芯片 Flash/DRAM 存储芯片和模拟芯片等,EW 外延片广泛用在二极管 IGBT 功率器件 低能耗数字与模拟电路,SOI 晶圆片特别适用于要求耐高压 低能耗, 高速通信及射频电路等芯片上, 如 MEMS 1.2 大尺寸硅片是未来趋势按照尺寸规格不同, 硅片可分为 4 英寸 5 英寸 6 英寸 8 英寸和 12 英寸等, 尺寸越大, 对设备和工艺的要求则越高 在摩尔定律的影响下, 硅片制造正不断向着大尺寸的方向发展 一般而言, 硅片尺寸越大, 将来在制成的每块晶圆上就能切割出更多的芯片, 单位芯片的成本也就更低 早在 20 世纪 60 年代, 就有了 0.75 英寸 ( 约 20mm) 左右的单晶硅片 随后在 1965 年左右随着 Gordon Moore 提出摩尔定律, 集成电路用硅片开始进入快速发展时期, 从少量使用的 1.25 英寸小硅片发展至 20 世纪 80 年代,6 英寸硅片已进入投产,4 英寸硅片成为行业主流 到 2002 年时, 英特尔与 IBM 率先建成 12 英寸生产线, 并于 2008 年创下 30% 的市场份额占有率 预计在 2020 年左右,18 英寸 (450mm) 的硅片将开始投入使用 10

11 表 2-1 不同尺寸规格晶圆统计 晶圆尺寸厚度 ( 微米 ) 面积 ( 平方厘米 ) 重量 ( 克 ) 50.8mm(2 英寸 ) mm(3 英寸 ) mm(4 英寸 ) mm(5 英寸 ) mm(6 英寸 ) mm(8 英寸 ) mm(12 英寸 ) 应用领域硅片主要应用于集成电路和光伏两大领域, 差异主要体现在硅片类型 纯度 以及平整度 光滑度及洁净程度等其他特性 从功能上讲, 硅片在 IC 制造和太阳能电池制造领域均作为 基底 材料, 二者的主要差异在于 : 为满足相应的电学特性, 集成电路级硅晶圆都是单晶硅, 而太阳能电池制造用的硅晶圆则是单晶硅晶圆与多晶硅皆有 ; 集成电路级硅片的纯度要求极高, 通常为 %(9N) 以上, 光伏级对于硅片纯度的要求则相对较低, 一般介于 99.99% %(4N-6N) 之间 集成电路级硅片对表面的平整度 光滑度及洁净程度也比光伏级硅片要高, 因此制备集成电路级硅片需要经过更加细致的磨片倒角 刻蚀除杂 抛光及清洗环节 因此整体上讲, 集成电路级硅片的制备门槛远高于光伏级硅片 3 技术工艺 11

12 硅片的生产过程非常复杂, 从硅石到硅片需要经过提纯 熔铸 拉棒 切割 抛光 清洗等多道工序 一般而言, 硅片要经过硅石的三步提纯制备出纯度为 % 的集成电路级硅, 再通过熔铸 拉棒等工艺流程生产成适当直径的硅锭, 最后被切割 抛光 清洗并通过质检环节后, 可完成的用于下游生产的薄硅片的制备 图 2-3 硅片加工工艺示意图 多晶硅片对硅料纯度的要求比较低, 制作工艺整体较单晶简单, 同时由于其多晶的特性, 在切片加工技术上难度稍大 具体来说, 在搭配好符合生产要求的配料后, 通常采用定向凝固法进行多晶硅铸锭, 再经过多线切割等制造方法对硅块切块切片即可获得高效超薄的多晶硅片 12

13 图 2-4 多晶硅制作工艺 单晶硅片是以多晶硅作为直接原材料, 经过直拉法或者区熔法拉制出单晶硅棒, 再通过切割 磨片 抛光工序而制成的 通常, 直拉法生产出的单晶硅多用于生产低功率的集成电路元件, 而区熔法生产出的单晶硅则主要用在高功率的电子元件 图 2-5 单晶硅制作工艺 从生产工艺流程来看, 多晶硅的制备 硅料的铸锭 拉棒以及硅片的切割是目前硅片生产过程中的四大核心技术 这四大技术在生产工艺中主要通过影响硅片的纯度 杂质含量 密实度 晶粒尺寸及尺寸分布 结晶取向与结构均匀性 以及硅片的薄厚程度等, 进而影响硅片的光电转换效率 13

14 表 2-2 四大核心技术 4 市场规模根据中国半导体行业协会分会的数据,2016 年我国半导体材料市场规模为 647 亿元, 比 2015 年的 591 亿元增长 9.5% 自 2011 年以来, 我国半导体市场规模增速步入平稳发展期 在 2015 年我国半导体材料市场中, 集成电路晶圆制造材料的市场规模为 亿元, 占当年半导体材料整体市场份额约 54% 其中从集成电路晶圆制造材料细分的产品结构中看, 硅片和硅基材料占据集成电路晶圆制造材料总体的比重最大, 约为 36%; 据统计,2016 年我国硅片市场规模约为 119 亿元 ; 根据 Gartner 预测, 到 2020 年全球硅片市场规模将达到 110 亿美元左右 14

15 图 2-6 材料市场规模占比 5 竞争格局从全球来看, 硅材料具有高垄断性, 全球一半以上的半导体硅材料产能集中在日本, 尤其是随着尺寸越大 垄断情况就越严重 2016 年, 全球前五大半导体硅片厂份额达 92%, 其中 Shin-Etsu( 信越化工 ) Sumco( 三菱住友 ) Global Wafers( 环球晶圆 ) Siltronic 与 LG Siltron 分别占比为 27% 26% 17% 13% 9% 表 2-3 全球前五大供应商概况 企业名称 国家和地区全球市场份额 硅片相关业务及产品介绍 公司为半导体单晶硅片的龙头, 始终牢牢占据大口径化及高 平直度的最尖端 公司最早研制成功了 300nm 硅片并实现了 SOI 信越化工日本 27% 硅片的产品化, 并能持续稳定地供应 IC 用硅片 目前, 信越化工 能够制造出 11N( %) 的纯度与均匀的结晶构造的单 晶硅, 在全世界处于领先水平 三菱住友 日本 26% 公司主营业务为硅晶圆片的制造, 目前产品类型主要包括高纯单晶硅锭 高质量抛光硅片 AW 高温退火晶片 EW 外延片 JIW 结隔离硅片 SOI 绝缘体上硅 RPW 再生抛光硅片 在高纯抛光硅片 退火晶片和外延片方面可以提供 300mm 大尺寸产品, SOI 硅片可以提供 200mm 尺寸产品 15

16 公司拥有完整的晶圆生产线, 可以提供的硅片产品包括 : 抛 环球晶圆 台湾 17% 光片 扩散片 退火晶片 磊晶片等 产品应用已跨越电源管理元件 车用功率元件 信息通信元件 MEMS 元件等领域 公司是全球首个商业化量产 300mm 晶圆的公司, 目前为全球 Siltro nic AG 德国 13% 前二十大晶圆制造工厂供应硅片 2014 年, 公司与三星成立合资公司 ( 公司持股 78%), 在新加坡运行了全球最大的 200nm(23 万片 / 月 ) 和 300mm(32.5 万片 / 月 ) 硅片厂 公司目前主要供应高纯单晶硅锭和不同规格 LG Siltr 韩国 9% (150mm/200mm/300mm/450mm) 规格的抛光片 ( 用于 DRAM Flash 显示驱动等领域 ) 和外延片 ( 用于 MCU CIS 电源管理芯片等领域 on ) 从国内来看, 中国集成电路材料整体国产化率较低, 晶圆制造材料国产化比例低于 10% 目前中国大陆自主生产的硅片以 6 英寸为主, 产品主要的应用领域仍然是光伏和低端分立器件制造, 而 8 英寸和 12 英寸的大尺寸集成电路级硅片依然严重依赖进口 目前国内硅片企业在大尺寸集成电路级硅片领域加大研发投入并取得一定成绩 2014 年, 上海新昇半导体科技有限公司建设了用于 40-28nm 制程的 12 英寸抛光硅片生产线, 一期投入后产能达到 12 英寸硅片 15 万片 / 月 8 英寸 5 万片 / 月, 最终形成 12 英寸 60 万片 / 月的产能 ; 有研新材在国家支持下积极投入 12 英寸大尺寸硅单晶技术的研发, 已建成产能 1 万片 / 月的 12 英寸硅片试验线, 技术水平和性能标准满足 90nm 制程工艺集成电路制造的要求 有研新材和金瑞泓的 8 英寸硅片月产能分别为 2 万片和 4 万片, 其他还有多家从事硅外延片生产的企业, 如河北普兴 上海新傲 南京国盛等 与硅片有关的上市公司有上海新阳 中环股份 晶盛机电等 16

17 ( 三 ) 集成电路制造材料 靶材 1 靶材简介高纯溅射靶材主要是指纯度为 99.9% %(3N-6N 之间 ) 的金属或非金属靶材, 应用于电子元器件制造的物理气象沉积 (PVD) 工艺, 是制备晶圆 面板 太阳能电池等表面电子薄膜的关键材料 溅射是制备薄膜材料的主要技术之一, 它利用离子源产生的离子, 在真空中经过加速聚集而形成高速的离子束流, 轰击固体表面, 离子和固体表面原子发生动能交换, 使固体表面的原子离开固体并沉积在基底表面, 被轰击的固体是用溅射法沉积薄膜的原材料, 称为溅射靶材 图 2-7 溅射镀膜基本原理示意图 溅射镀膜技术从 19 世纪中期至今, 经历了 170 年的沉淀与发展逐步走向成熟, 特别是最近 10 年溅射技术更是取得了突飞猛进的发展 相比 PVD 另一大工艺真空镀膜, 溅射镀膜工艺可重复性好 膜厚可控制, 可在大面积基板材料上获得厚度均匀的薄膜, 所制备的薄膜具有纯度高 致密性好 与基板材料的结合力强等优点, 已成为制备薄膜材料的主要技术 根据应用领域的不同, 靶材的材料 形状也会有所差异 根据形状可分为长 ( 正 ) 方体形 圆柱体形 无规则形以及实心 空心靶材, 17

18 根据材料可分为金属材料 ( 纯金属铝 / 钛 / 铜 / 钽等 ) 合金材料( 镍铬 / 镍钴合金等 ) 无机非金属( 陶瓷化合物 : 氧化物 / 硅化物 / 碳化物等 ) 复合材料靶材 2 在集成电路中的应用一般而言, 芯片制造对溅射靶材金属纯度的要求最高, 通常要求达到 %(5N5) 以上, 平板显示器 太阳能电池分别要求达到 %(5N) %(4N5) 以上即可 在晶圆制作环节, 溅射靶材主要用于晶圆导电层及阻挡层和金属栅极的制作, 主要用到铝 钛 铜 钽等金属, 芯片封装用金属靶材与晶圆制作类似, 主要有铜 铝 钛等 图 2-8 溅射靶材主要用晶圆溅射镀膜环节及封装金属材料制作 3 技术工艺靶材的生产需要经过预处理 塑性加工 热处理 焊接 机加 净化 检测等多道工艺处理, 塑性变形再结晶过程需要重复进行 3.1 制备工艺根据靶材材料以及用途的不同, 制备工艺主要包含熔炼铸造法和粉末烧结法两大技术路径 18

19 熔炼铸造法 : 高纯金属如 Al Ti Ni Cu Co Ta Ag Pt 等具有良好的塑性, 直接采用物理提纯法熔炼制备的铸锭或在原有铸锭基础上进一步熔铸后, 进行锻造 轧制和热处理等热机械化处理技术进行微观组织控制和坯料成型 粉末烧结法 : 对于 W Mo Ru 等难熔金属及合金, 由于材料的熔点高 合金含量高 易偏析 本征脆性大等原因, 采用熔炼法难以制备或者材料性能无法满足溅射需求时, 需要采用粉末烧结法制备 首先进行粉体材料的预处理, 包括采用粒度和形貌合适的高纯金属粉末进行均匀化混合 造粒等, 再选择合适的烧结工艺, 包括冷等静压 (CIP) 热压(HP) 热等静压(HIP) 及无压烧结成型等 3.2 核心技术从工艺的难易程度来看, 超高纯金属控制和提纯技术 晶粒晶向控制技术 异种金属大面积焊接技术 金属的精密加工及特殊处理技术 靶材的清洗包装技术是目前靶材生产过程中的五大核心技术 ; 在生产工艺中主要影响靶材的纯度 杂质含量 密实度 晶粒尺寸及尺寸分布 结晶取向与结构均匀性 几何形状与尺寸等, 进而影响镀膜溅射效率及沉积薄膜的质量 其中, 晶粒晶向控制技术主要通过塑形加工再结晶流程 (TMP) 即塑性加工 热处理 结晶退火来控制晶粒晶向, 来使各晶粒的大小和排列方向相同, 保证溅射成膜的均匀性和溅射速度, 是靶材生产的核心技术 4 市场竞争全球靶材制造行业呈现寡头垄断格局, 少数日美化工与制造集团 19

20 主导了全球靶材制造行业, 产业集中度高 靶材行业下游的区域集聚性造就了高纯溅射靶材生产企业的高度聚集, 目前全球溅射靶材研制和生产主要集中在美国 日本少数几家公司, 其中霍尼韦尔 日矿金属 东曹 普莱克斯 住友化学 爱发科等跨国集团占据主导地位 根据有研新材公告数据估算, 日矿金属是全球最大的靶材供应商, 靶材销售额约占全球市场的 30%; 霍尼韦尔在并购 Johnson Mattey 整合高纯铝 钛等原材料生产厂后, 占到全球市约 20% 的份额, 此外东曹和普莱克斯分别占比 20% 和 10% 较高的技术与客户壁垒导致日美跨国公司形成垄断优势, 行业集中度高, 且产业格局长期维持在相对稳定的状态 一方面溅射镀膜工艺起源于国外, 对所需溅射靶材的性能要求高 专业性强, 属于技术密集型产业, 新进入者无论在技术 设备 人才等各方面均需要大规模投入 ; 另一方面, 靶材行业下游客户认证周期长, 客户定制化程度高 在供应商与下游用户初步接触后, 需要经过供应商初评 报价 样品检测 小批样使用 以及稳定性检测等评价过程, 才能成为正式供应商, 一般需要 2-3 年, 且一旦成为供应商后将与下游客户保持相对稳定的关系 国内半导体工业的相对落后导致了高纯溅射靶材产业起步较晚 受到技术 资金和人才的限制, 多数国内厂商还处于企业规模较小 技术水平偏低 以及产业布局分散的状态 国内靶材行业龙头包括 A 股上市公司江丰电子 有研新材子公司有研亿金 福建阿石创 以及隆华节能旗下子公司四丰电子和晶联光电, 目前已经初具规模 非上 20

21 市公司中有江西睿宁 江苏比昂等公司, 但总体规模偏小 ( 四 ) 集成电路封装材料 封装基板 1 封装基板简介封装基板是芯片封装体的重要组成材料, 主要起承载保护芯片与连接上层芯片和下层电路板作用 完整的芯片由裸芯片 ( 晶圆片 ) 与封装体 ( 封装基板及固封材料 引线等 ) 组合而成 封装基板作为芯片封装的核心材料, 一方面能够保护 固定 支撑芯片, 增强芯片导热散热性能, 保证芯片不受物理损坏, 另一方面封装基板的上层与芯片相连, 下层和印刷电路板相连, 以实现电气和物理连接 功率分配 信号分配, 以及沟通芯片内部与外部电路等功能 随着封装技术向多引脚 窄间距 小型化的趋势发展, 封装基板已经逐渐取代传统引线框架成为主流封装材料 图 2-9 封装基板是芯片封装的核心原材料 按芯片与封装基板的连接方式, 封装基板可分为引线键合封装基板和倒装封装基板 其中, 引线键合 (Wire Bonding,WB) 使用金属线, 并利用热 压力 超声波能量使金属引线与芯片焊盘 基板焊盘紧密焊合, 实现芯片与基板间的电气互连和芯片间的信息互通, 大量应用 21

22 于射频模块 储存芯片 微机电系统器件封装 而倒装封装 (Flip Chip,FC) 与引线键合不同, 其采用倒装焊球连接芯片与基板, 即在芯片的焊盘上形成焊球, 然后将芯片翻转贴到对应的基板上, 利用加热熔融的焊球实现芯片与基板焊盘结合, 该封装工艺已广泛应用于 CPU GPU 及 Chipset 等产品封装 图 2-10 引线键合封装基板和倒装封装基板 从材料上分类, 封装基板又可以分为有机基板 无机基板和复合基板三大类 ( 分别对应其原材料种类 ) 有机基板由有机树脂 环氧树脂等有机材料制成, 介电常数较低且易加工, 适用于导热性要求不高的高频信号传输 无机基板是由各种无机陶瓷制成, 耐热性好 布线较易且尺寸稳定, 但其制作成本和材料毒性具有一定限制 复合基板则是根据不同需求的特性来复合不同有机 无机材料 随着技术的发展, 环境保护等方面的要求逐渐提高, 无机基板 ( 陶瓷基板 ) 由于其材料毒性等因素将逐渐被有机基板和复合基板取代 有机封装基板主要用于消费电子领域, 目前是封装基板的主流产品, 根据数据统计, 有机封装基板的产值约占整个 IC 封装基板总产值的 80% 以上, 其中又以刚性基板为主 有机封装基板因其运用的材料不同, 可分为刚性和柔性两种, 刚性封装基板采用 BT 树脂基板材料 环氧树脂等刚性材料, 柔性封装基板采用柔性材料, 刚性封装 22

23 基板主要运用于基带芯片 应用处理器芯片 功率放人器芯片 数字模块芯片等领域 柔性封装基板主要运用于晶体管液晶显示器芯片等领域 2 技术门槛封装基板在制造工艺上与 PCB( 印制电路板 ) 存在一定类似之处, 但由于封装基板尺寸更小 电气结构更加复杂, 因此其制造技术难度要远高于 PCB 与 PCB 制造工艺类似, 封装基板在生产工艺上主要可大致分为减成法 加成法 半加成法等三大类不同的生产工艺, 目前半加成法和减成法是主流生产工艺 生产过程中包含了钻孔 沉通 电镀 图形转移 蚀刻 阻焊 涂覆等多道工序 由于封装基板尺寸更小, 精密程度更高, 在芯板制造 ( 包括无芯板技术 ) 导通孔制作等方面技术难度要显著高于 PCB 1) 加成法 : 是指在没有覆铜箔的胶板上印制电路后, 以化学镀铜的方法在胶板上镀出铜线路图形, 形成以化学镀铜层为线路的印制板 加成法对化学镀铜以及镀铜与基体的结合力要求严格, 但由于工艺简单, 不用覆铜板 ( 材料成本较低 ), 不用担心电镀分散能力的问题 ( 完全是采用化学镀铜 ), 因此主要用于制造廉价的双面板 2) 半加成法 : 采用覆铜板制作印制线路板, 其中线路的形成采用减成法, 即用正相图形保护线路, 而让非线路部分的铜层被减除 再用加成法让通孔中形成铜连接层, 将双层或多层板之间的线路连接起来, 这是大部分线路板的主要制作方法 只是孔金属化采用的是加成法 23

24 3) 减成法 : 在覆铜板上印制图形后, 将图形部分保护起来, 再将印有抗蚀膜的多余铜层腐蚀掉, 以减掉铜层的方法形成印制线路 最早的单面印制线路板就是采用这种方法制造的, 现在的双面板 多层板在采用半加成法时, 也要用到减成法 图 2-11 深南电路封装基板制造主要采用减成法和半加成法 3 市场规模封装基板已经成为封装材料细分领域销售占比最大的原材料, 占封装材料比重超过 50%, 全球市场规模接近百亿美金 根据 SEMI 的统计数据,2016 年有机基板以及陶瓷封装体合计市场规模达 亿美元, 合计占比 53.3% 加上引线框架的市场规模为 34.6 亿美元, 占比 17.6%, 封装承载材料 ( 包括封装基板和引线框架 ) 合计市场规模约为 140 亿美元, 占封装材料的比重达 70% 国内封装基板产业升级叠加国产替代, 本土封装基板需求将迅速提升 根据 IC Mtia 的统计数据,2016 年国内封装基板 ( 包括机基板以及陶瓷基板 ) 市场规模达 80 亿元, 占封装材料比重接近 30%, 远低于全球 50% 的占比 随着国内集成电路行业的不断发展, 基板在封装应用将逐渐对引线框形成替代, 同时伴随下游行业对国内基板需求的不断提升, 预计本土封装基板需求将保持复合 20% 以上增长 24

25 4 市场竞争全球封装基板的主要生产厂商集中在我国台湾 韩国和日本三地, 目前全球封装基板前十大厂商均来自及日本 韩国和台湾三地, 日 韩 台基板龙头凭借多年的技术积淀, 目前占据了全球产业制高点, 同时由于封装基板的高技术壁垒导致了行业格局相对稳固 根据 Prismark 统计数据, 从 2012 年和 2016 年全球十大封装基板厂商没有发生变化, 仅内部排名出现了小幅调整, 整体市占率均保持在 80% 以上 从国内来看, 随着我国下游封测行业的逐渐扩大和稳定,2009 年起陆续有企业开始进入封装基板产业, 产业参与方以 PCB 厂为主 总体来看, 虽然国内封装基板占有率在全球仍处于较低水平, 但提升趋势明显 目前国内主流基板厂有深南电路 珠海越亚 兴森科技和丹邦科技, 规模较大的有深南电路和珠海越亚 四家封装基板主要厂商的产品构定位存在一定差异 从产品结构上看, 深南电路和兴森科技均是在拥有较大规模的 PCB 业务的基础上开始发展封装基板业务 而珠海越亚和丹邦科技则是专注于发展的刚性有机无芯封装基板和 COF 柔性封装基板等高端基板业务 我国封装基板厂商已经实现向全球主流封测厂和设计厂商供货, 在封测领域, 深南电路和兴森科技已经与长电科技 安靠科技 华天科技等全球前十大封测厂商建立了合作关系, 且均分别是两家公司的前五大客户 此外, 珠海越亚也与知名封测厂商威讯联合半导体建立了多年的供应关系 ; 在设计领域, 珠海越亚已向知名设计厂商安华高 25

26 科技供货多年, 同时该公司也是珠海越亚第一大客户 同时, 兴森科技也是我国本土设计厂商全志科技的封装基板供货商 ; 在细分电子制造领域, 深南电路作为硅麦克风微机电系统封装基板领先企业, 已实现向歌尔股份和瑞声声学科技等电声元器件制造厂商供货 而丹邦科技作为我国 COF 柔性基板龙头企业, 已实现向佳能 夏普 奥林巴斯等著名电子设备制造商批量供货 经过不断研发和技术积累, 我国封装基板企业已打破国外技术垄断, 成功实现封装基板自产, 细分领域竞争力已位居世界前列 ( 五 ) 集成电路制造及封装材料 湿电子化学品 1 湿电子化学品简介湿电子化学品 (Wet Chemicals) 指为微电子 光电子湿法工艺 ( 主要包括湿法刻蚀 湿法清洗 ) 制程中使用的各种电子化工材料 湿电子化学品按用途可分为通用化学品 ( 又称超净高纯试剂 ) 和功能性化学品 ( 以光刻胶配套试剂为代表 ) 其中超净高纯试剂一般要求化学试剂中控制颗粒的粒径在 0.5μm 以下, 杂质含量低于 ppm 级, 是化学试剂中对颗粒控制 杂质含量要求最高的试剂 功能湿电子化学品是指通过复配手段达到特殊功能 满足制造中特殊工艺需求的配方类或复配类化学品 功能性湿电子一般配合光刻胶用, 包括显影液 漂洗液 剥离液等 湿电子化学品主要适用于平板显示 集成电路及 LED 光伏太阳能等电子元器件微细加工的清洗 蚀刻 等工艺环节 其中在集成电路领域, 主要应用于前段的晶圆制造及后端的封装测试, 相比平板显 26

27 示 太阳能电池板等领域, 技术要求最高 在晶圆制造过程中, 湿电子化学品主要用于清洗颗粒 有机残留物 金属离子 自然氧化层等污染物 另外, 通过蚀刻液与特定薄膜材料发生化学反应, 从而出去光刻胶未覆盖区域的薄膜, 实现图形转移, 获得器件的结构 湿电子化学品也应用于后段高端封装领域的清洗 溅射 黄光 蚀刻等工艺环节 图 2-12 湿电子化学品包含通用性化学品和功能性化学品两大类 2 技术门槛国内湿电子化学品基本集中在 G2 等级, 而大部分集成电路用材料基本要求在 G4 G5 等级, 中国的研发水平与国际尚存在较大差距 综合来看, 湿电子化学品的关键生产技术包括混配技术 分离技术 纯化技术以及与其生产相配套的分析检验技术 环境处理与监测技术等 这些都需要企业具备一定研发能力和技术应用能力 同时, 下游电子器件的生产工艺不同, 会需要一些功能性专用的湿电子化学品, 27

28 这需要相关企业有较强的配套能力, 能够掌握核心的配方工艺以满足下游电子信息产业的功能性需求 以上生产技术 生产工艺, 配方技术和配套能力都构成了企业进入湿电子化学品生产经营领域的进入壁垒 湿电子化学品主要采用蒸馏 亚沸蒸馏等温蒸馏 减压蒸馏以及升华 化学处理 气体吸收等技术, 将产品中的金属杂质分离出来确保产品的纯净度 湿电子化学品的品种多, 每种产品的制备要求各不相同, 因此无法设计加工通用设备 必须根据不同品种的特性来确定各自的工艺路线, 设计加工各自所需的设备 3 市场规模 2016 年全球湿电子化学品市场规模约为 11.1 亿美元 湿电子化学品作为新能源 现代通信 新一代电子信息技术 新型显示技术的关键化学材料, 其全球市场规模自 21 世纪初开始快速增长 根据 SEMI 数据显示,2016 年全球湿电子化学品市场规模约为 11.1 亿美元 根据 IC Mtia 数据显示, 我国集成电路用湿电子化学品的市场规模为 14 亿元, 同比小幅增长 随着国家 02 专项的大力支持和优秀企业的不断研发, 我国无机酸类 无机碱类及有机溶剂等各类高纯化学试剂有望通过更高端的制程验证, 进一步打开国内市场 4 市场竞争欧美和日韩台地区企业仍占据产业主导位置 全球湿电子化学品的参与企业主要分为 :(1) 欧美企业 : 主要包括欧美传统化工企业 28

29 的湿电子化学品部门 ( 包括它们在亚洲开设工厂 ), 其市场份额 ( 以销售额计 ) 约为 35%;(2) 日本企业 : 日本约十家湿电子化学品生产企业占据全球 28% 的市场份额 ;(3) 其他国家或地区企业 : 主要是中国台湾 韩国 本土企业生产的湿电子化学品, 约占全球市场总量的 32% 目前, 欧美和日本湿电子化学品企业技术先进, 品种齐全, 韩国和台湾地区及其他国家和地区企业在技术专利和市场份额等方面仍与欧美和日本企业存在较大差距 从国内来看, 我国集成电路用湿电子化学品的国产化率约为 15%, 6 英寸及 6 英寸以下集成电路湿电子化学品国产化率已提高到 80%, 但 8 寸及以上集成电路用湿电子化学品基本采用进口 国内主要厂商有江化微 江阴润玛 晶瑞股份等 ( 六 ) 集成电路制造材料 电子气体 1 简介电子气体是指用于半导体及相关电子产品生产的特种气体, 应用范围十分广泛 按其本身化学成分可分为 : 硅系 砷系 磷系 硼系 金属氢化物 卤化物和金属烃化物七类 按在集成电路中不同应用途径可分为掺杂用气体 外延用气体 离子注入气 发光二极管用气 刻蚀用气体 化学气相沉积气和平衡气 在集成电路领域, 电子特种气体涉及集成电路制造多个环节, 对最终产品质量和性能影响重大 电子气体在多个集成电路制造环节具有重要作用, 尤其在薄膜沉积环节发挥不可取代的作用, 是形成薄膜的主要原材料之一 29

30 图 2-13 电子特气在晶圆制造中的应用 2 技术门槛特种电气提纯是制备工艺的核心技术壁垒 特种气体纯度的提高, 能够有效提高电子器件生产的良率和性能 以集成电路制造为例, 其电路线宽已经从最初的毫米级, 到微米级甚至纳米级, 对应用于集成电路生产的电子特气纯度亦提出了更高的要求 电子特气纯度提升的影响因素主要包括 气体的分离和提纯 气体杂质检测和监控 气体的运输和储存 三个方面 (1) 气体的分离和提纯 : 电子气体的分离和提纯方法原理上可分为 精馏分离 分子筛吸附分离 以及膜分离三大类, 在实际提纯分离过程中, 为了达到更好的分离效率, 往往会利用多种分离方法进行组合, 工艺更为复杂 30

31 (2) 气体杂质的检测和监控 : 随着电子特气的纯度越来越高, 对分析检测方法和仪器提出了更高的要求, 检测限从最早的 ppm 级已经发展到 ppt 级 目前国外电子气体的分析已经经历了离线分析 在线分析 (on-line), 原位分析 (insitu) 等几个阶段 对于高纯度电子气体的分析, 国外已经开发出系统完整的分析测试方法和现场分析仪器 而由于我国电子特气行业一直重生产而轻检测, 因此分析方法和分析仪器同外国厂商相比都比较落后 ; (3) 气体的运输和储存 : 高纯特气在储存和运输过程中要求使用高质量的气体包装储运容器 以及相应的气体输送管线 阀门和接口, 确保避免二次污染 3 市场规模根据 SEMI 统计数据显示, 近几年全球集成电路用电子特种气体的市场规模相对稳定, 增长缓慢 2016 年全球集成电路用电子气体市场规模约为 36.8 亿美元 根据 IC Mtia 统计数据,2016 年我国电子特气市场规模达到 46 亿元 虽然我国电子气体已经摆脱完全依赖进口的状态, 但面对国外化工巨头已经实现的市场垄断, 国内企业依然面临巨大的竞争压力 4 市场竞争从全球角度来说, 电子特种气体从生产到分离提纯以及运输供应阶段都存在较高的技术壁垒, 市场准入条件高, 全球市场主要被几家跨国巨头垄断 包括美国空气化工 普莱克斯 德国林德集团 法国液化空气 日本大阳日酸株式会社等公司占据了全球电子特气 90% 以 31

32 上的市场份额 从国内角度来说, 中国电子特气企业技术与国外仍然存在较大差距, 电子特气市场仍被外企主导 截止 2016 年年底, 国内方面电子特种气体行业集中度高, 美国化工 普莱克斯 日本昭和电工 英国 BOC 公司 ( 已被德国林德集团收购 ) 法国液化公司 日本酸素等六家公司合计占据了我国电子特气 85% 的市场份额 在政策支持和技术进步推动下, 我国特种气体行业在 2006 年后进入快速发展阶段,2010 年后国内特种气体企业不断冲击国外巨头技术垄断的格局 截止 2015 年年底, 我国共有特种气体生产企业 150 余家, 其中比较突出的有雅克科技 南大光电 巨化股份 凯美特气等 ( 七 ) 集成电路制造材料 CMP 抛光材料 1 简介 CMP 化学机械抛光 (Chemical Mechanical Polishing) 是集成电路制造过程中实现晶圆全局均匀平坦化的关键工艺 与传统的纯机械或纯化学的抛光方法不同,CMP 技术是通过化学和机械的组合技术避免了由单纯机械抛光造成的表面损伤, 利用了磨损中的 软硬磨 原理, 即用较软的材料来进行抛光以实现高质量的表面抛光, 将化学腐蚀和机械磨削作用达到一种平衡 32

33 图 2-14 CMP 化学机械抛光 抛光材料是 CMP 工艺过程中必不可少的耗材 根据功能的不同, 可划分为抛光垫 抛光液 调节器 以及清洁剂等, 主要以抛光液和抛光垫为主 抛光垫的作用主要是传输抛光液, 传导压力和打磨发生化学反应的材料表面, 通常为影响化学机械抛光的 机械 因素 抛光垫的材料通常为聚氨酯或聚酯中加入饱和的聚氨酯 抛光垫的各种性质严重影响到抛光晶片的表面质量和抛光速率, 主要有抛光布的纤维结构和孔的尺寸 抛光垫的粘弹性 抛光垫的硬度和厚度 耐化学性 以及反应性等 抛光液的作用主要是为抛光对象提供研磨及腐蚀溶解, 通常为影响化学机械抛光的 化学 因素 CMP 抛光液又称 CMP 研磨液或 CMP 磨料, 是平坦化工艺中研磨材料和化学添加剂的混合物,CMP 抛光液一般由超细固体粒子研磨剂 ( 如纳米级 SiO2 Al2O3 粒子等 ) 表面活性剂 稳定剂 氧化剂等组成 其中, 固体粒子提供研磨作用, 化学氧化剂提供腐蚀溶解作用 CMP 在集成电路中主要应用在单晶硅片抛光及介质层抛光中 集成电路制造需要在单晶硅片上执行一系列的物理和化学操作, 生产工艺非常复杂 在这个复杂的过程中单晶硅片制造和前半制程工艺中将会多次用到 CMP 技术 33

34 2 技术门槛抛光材料制备技术门槛较高, 其中抛光垫的技术壁垒在于沟槽设计及提高寿命改良, 抛光液的技术壁垒在于调整抛光液组成以改善抛光效果 对于抛光垫而言合理的沟槽设计帮助抛光液流动并带走切削的细屑, 使晶圆表面最终能形成完美的镜面效果, 另一方面作为耗材下游晶圆厂对抛光垫使用寿命的要求越来高, 因此沟槽设计与使用寿命提高是抛光垫生产过程中的核心技术壁垒 抛光液的配方则是影响抛光效果的决定性因素 各大公司和研究机构通常非常注重抛光液配方的研究, 同时根据抛光对象的不同对抛光液的组成进行调整, 以获得较好的抛光速率和抛光效果 3 市场规模根据 SEMI 的统计数据,2016 年全球 CMP 抛光材料市场规模达到 16.1 亿美元, 估算 2017 年达到 17.2 亿美元, 其中,2016 年中国抛光材料的市场份额约占全球的 20%, 市场规模约为 23 亿人民币 抛光材料的市场容量主要取决于下游晶圆产量, 近年来一直保持较为稳定增长, 预计未来能保持 4% 的年均增长率, 到 2020 年全球市场规模达到 19 亿美元以上, 其中抛光液的市场规模有望在 2020 年突破 12 亿美元的市场规模, 是带动抛光耗材市场成长主要动力 4 市场竞争 CMP 抛光材料具有技术壁垒高, 客户认证时间长的特点, 一直以来处于寡头垄断的格局 全球芯片抛光液市场主要被在美国 日本 韩国企业所垄断, 日本 Fujimi Hinomoto Kenmazai 公司, 美国卡 34

35 博特 杜邦 Rodel Eka, 韩国的 ACE 等所垄断, 占据全球 90% 以上的高端市场份额 全球 CMP 抛光垫几乎全部被陶氏公司所垄断, 占据全球抛光垫市场 79% 的市场份额, 在细分集成电路芯片和蓝宝石两个高端领域更是占据 90% 的市场份额 此外,3M 卡博特 日本东丽 台湾三方化学等可生产部分芯片用抛光垫 从国内来看, 对于抛光液领域整体而言, 中国目前不锈钢 铝 钨等中低端的抛光液基本实现国产化, 但达不到集成电路的要求 对于抛光垫领域整体而言, 中国国产高端抛光垫市占率为 0%, 本土企业仍处于尝试突破阶段 由于国外企业对我国实施技术封锁, 专利壁垒是实现国产替代的难点所在 目前, 国内企业鼎龙股份已率先进军 CMP 新蓝海, 未来有望实现国内市场零的突破 ( 八 ) 集成电路制造材料 光刻胶 1 简介光刻胶是图形转移介质, 其利用光照反应后溶解度不同将掩膜版图形转移至衬底上 目前广泛用于光电信息产业的微细图形线路加工制作, 是电子制造领域关键材料 以集成电路用光刻胶为例, 在光刻工艺中, 光刻胶被均匀涂布在衬底上, 经过曝光 ( 改变光刻胶溶解度 ) 显影 ( 利用显影液溶解改性后光刻胶的可溶部分 ) 与刻蚀等工艺, 将掩膜版上的图形转移到衬底上, 形成与掩膜版完全对应的几何图形 光刻工艺约占整个芯片制造成本的 35%, 耗时占整个芯片工艺的 40-60%, 是集成电路制造中最核心的工艺 35

36 2-15 通过光刻胶将掩膜版图形转移至衬底材料 光刻胶主要由感光剂 ( 光引发剂 ) 聚合剂( 感光树脂 ) 溶剂与助剂构成 光引发剂是光刻胶的最关键成分, 对光刻胶的感光度 分辨率起着决定性作用 感光树脂用于将光刻胶中不同材料聚合在一起, 是构成光刻胶的骨架, 决定光刻胶包括硬度 柔韧性 附着力等基本属性 溶剂是光刻胶中最大成分, 目的是使光刻胶处于液态, 但溶剂本身对光刻胶的化学性质几乎没影响 助剂通常是专有化合物, 由各家厂商独自研发, 主要用来改变光刻胶特定化学性质 光刻胶下游领域主要包括集成电路 面板 PCB 以及 LED 等行 36

37 业 光刻胶自 1959 年被发明以来一直是集成电路核心材料, 技术要求最高, 随后被改进运用到 PCB 板的制造, 并于 20 世纪 90 年代运用到平板显示的加工制造 最终应用领域包括消费电子 家用电器 汽车通讯等 2 技术门槛光刻胶产品是电子化学品中技术壁垒最高的材料之一, 其不仅具有纯度要求高 工艺复杂等特征, 还需要相应光刻机与之配对调试 一般一块集成电路芯片在制造过程中需要进行 道光刻过程, 由于基板不同 分辨率要求不同 蚀刻方式不同等, 不同的光刻过程对光刻胶的具体要求也不一样, 即使类似的光刻过程, 不同的厂商也会有不同的要求 针对不同应用需求, 光刻胶的品种非常多, 这些差异主要通过调整光刻胶的配方来实现 因此, 通过调整光刻胶的配方, 满足差异化的应用需求, 是光刻胶制造商最核心的技术 3 市场规模根据智研咨询统计,2015 年全球光刻胶规模约为 73.6 亿美元, 其中 PCB LCD 集成电路光刻胶需求各为 24.5% 26.6% 24.1% 中国光刻胶市场规模在 100 亿元左右, 其中 PCB 是最大细分子市场, 占据半数以上市场份额,LCD 市场处于快速发展中, 国内市场规模占比较集成电路光刻胶高, 集成电路市场是技术门槛最高的子行业之一, 也是目前国内市场占比最小光刻胶子行业 2015 年国内集成电路光刻胶市场空间约为 18 亿元, 其中国产光刻胶规模为 3.3 亿元, 国产化率仅为 18.3%, 国内光刻胶企业还存在巨大的拓展空间 37

38 4 市场竞争从全球市场来看, 目前全球市场基本被美 日 韩等国家或地区企业垄断, 尤其是日本企业, 全球专利分布前十公司中占 7 成 从国内来看, 国内公司受制于本身技术水平与高端光刻机生产能力不足, 产品竞争力较弱 在集成电路光刻胶领域, 目前技术较国外先进技术差距较大, 国内集成电路光刻胶市场超过 80% 市场份额掌握在日本住友 TOK 美国陶氏等公司手中, 国内公司目前有苏州瑞红与北京科华实现了部分品种的国产化, 但是整体技术水平较低, 仅能进入 8 寸集成电路生产线与 LED 等生产线, 苏州瑞红目已经研发出 g 线与 i 线光刻胶, 其中 I 线已经成功实现量产 ; 北京科华正开发 KrF (248nm) 光刻胶, 目前已经通过中芯国际认证,ArF(193nm) 光刻胶也在积极研发中 38

39 三 设备行业分析 ( 一 ) 行业概况 1 集成电路用设备概况在晶圆厂的投资份额占比中, 厂房建设投资占 20-30%, 其中设计占 3%-7%, 土建施工占 20-30%, 洁净室占 50%-70%; 设备投资占 70-80%, 其中硅片制造设备占 1%-3%, 晶圆加工设备占 80% 左右, 封装测试设备占 20% 左右 整个集成电路生产流程可以分前中后三段 : 前段硅片制备 中段晶圆制造 后段封装测试, 每个阶段涉及的设备有所不同 前段硅片制备设备主要为减薄机 单晶炉 研磨机等 ; 终端晶圆制造需要热处理设备 光刻机 刻蚀机 离子注入设备 CVD/PVD 设备 清洗设备等 ; 后段封装测试环节需要切割机 装片机 键合机 测试机 分选机 探针台等设备 ; 此外, 还需要洁净室等设备作为辅助设备 其中薄膜沉积设备 光刻设备 刻蚀设备是晶圆制造的核心设备, 本章节将对上述 3 种核心设备进行具体介绍 由于集成电路产业制造过程需要多种设备协同工作, 而如光刻机等高精度设备造价极高 ( 如 ASML 一台光刻机售价超过 1 亿美元 ), 因而使得集成电路设备成为产业链最大投资额, 占产业总支出接近 80% 39

40 图 3-1 晶圆厂投资占比情况 2 竞争情况国内与国际先进水平差距依然巨大 现在世界集成电路设备研发水平处于 12 英寸 7nm, 生产水平则已经达到 12 英寸 14nm; 而中国设备研发水平还处于 12 英寸 14nm, 生产水平为 12 英寸 65-28nm 无法形成技术对垒的原因有两点 :1) 集成电路设备行业是典型的技术密集型行业, 不易赶超 2) 技术封锁, 导致国内的技术主要通过自主创新完成, 直接阻碍了中国半导体技术的发展 全球市场集中度高, 国产企业差距明显 根据 SEMI 统计,2017 年全球前十大 IC 设备企业合计营收为 亿美元, 占全球市场比重为 73% 其中, 前四强与 2016 年一致, 合计营收占比为 59% 表 年全球重要 IC 设备供应商 年营收 2017 年市 2017 年增公司 ( 英文名 ) 中文名主要产品领域排名排名 ( 亿美元 ) 场占有率长率应用材料沉积 刻蚀 离子注入 1 1 Applied Materials % 38% ( 美 ) 化学机械研磨等 40

41 2 2 Lam Research 泛林 ( 美 ) 刻蚀 沉积 清洗等 % 62% 3 4 Tokyo Electron 4 3 ASML 5 5 KLA-Tencor 6 6 Screen Semiconductor Solutions 7 - SEMES 8 7 Hitachi High-Technologies 9 6 Hitachi Kokusai 东电电子沉积 刻蚀 匀胶显影 % 48% ( 日 ) 设备阿斯麦光刻设备 % 41% ( 荷兰 ) 科天硅片检测 测量设备 % 17% ( 美 )) 迪恩士刻蚀 清洗设备 % 1% ( 日 ) 细美事清洗 光刻 封装设备 % 142% ( 韩 ) 日立高新沉积 刻蚀 检测设备 ( 日 ) 封装贴片设备等 % 5% 日立国际热处理设备 % 84% 电气 ( 日 ) 10 - Daifuku 大福 ( 日 ) 无尘室搬运等 % 46% ASM International 先域 ( 荷沉积 封装键合设备等 % 31% 兰 ) 12 8 Nikon 尼康 ( 日 ) 光刻设备 % -16% 资料来源 :SEMI,Gartner, 国海证券研究所整理 国产半导体设备企业实力仍然偏弱 根据 Gartner 数据显示, 全球列入统计的规模以上晶圆制造设备商共计 58 家, 其中日本企业最多, 数量达到 21 家, 占比为 36% 其次是欧洲的 13 家 北美 10 家 韩国 7 家 中国大陆仅 4 家纳入统计, 按数量统计占比不到 7%, 国产半导体设备公司整体实力偏弱 根据中国电子专用设备协会统计,2017 年中国半导体设备前三强分别是晶盛机电 电科装备 捷佳伟创, 其中主营业务为集成电路设备的前三强分别是中微半导体 北方华创 上海微电子,2016 年营收规模分别为 亿元 41

42 2017 排名 2016 排名 表 年中国半导体设备十强单位 ( 按半导体设备销售收入排序 ) 公司名称 2016 营收 ( 亿元 ) 应用领域 1 2 浙江晶盛机电股份有限公司 9.08 光伏 LED IC 2 1 中电科电子装备集团有限公司 8.98 光伏 IC LED 深圳市捷佳伟创新能源装备股 份有限公司 中微半导体设备 ( 上海 ) 有限 公司 北方华创科技集团股份有限公 司 上海微电子装备 ( 集团 ) 股份 有限公司 7.70 光伏 主要产品 多晶铸锭炉 单晶炉等晶体 生长设备 CMP 键合机 封装设备 切 磨抛 制绒设备 扩散设备 清洗 设备 6.87 IC LED 刻蚀设备 封装 4.85 IC 光伏 LED 刻蚀机 CVD 设备 清洗机 封装设备 外延 2.90 IC 其他光刻机 7 7 北京京运通科技股份有限公司 2.68 光伏多晶硅铸锭炉 单晶炉 8 9 盛美半导体设备 ( 上海 ) 有限 公司 2.14 IC 镀铜设备 抛铜设备 单晶 圆清洗设备 9 8 天通吉成机器技术有限公司 1.64 光伏 LED 微电子 精密加工设备 10 - 沈阳芯源微电子设备有限公 司 1.50 IC LED 其他 匀胶设备 显影设备 清洗 设备 刻蚀设备 去胶设备 资料来源 : 中国电子专用设备工业协会 国海证券研究所 3 国内市场概况 (1) 中国集成电路设备需求持续增加根据 SEMI 统计,2017 年全球集成电路设备销售额为 亿美元, 同比增长 36% 中国是第三大集成电路设备销售地区,2017 年销售额为 75.9 亿美元, 同比增长 17.5%, 占全球销售额的 13.6%, 仅次于韩国和台湾 同时 SEMI 预计 年中国大陆集成电路设备支出将继续以 65% 57% 的增速成长, 从全球地区来看有望跃居至第二位 42

43 (2) 集成电路设备自给率严重不足虽然中国拥有着巨大的集成电路设备市场, 但国产化设备占比依然很低 根据电子专用设备协会的统计,2017 年中国集成电路设备制造商销售收入为 亿元, 国产集成电路设备在全球的市场占有率为 2.5%, 在中国大陆的市场占有率为 16% 虽然较 2016 年, 国产化半导体设备在中国市占率提升了 5 个百分点, 实现较快速的增长, 但自给率依然严重不足 特别是热处理设备 光刻机 探针台等集成电路设备基本依赖进口, 国产化率很低, 核心技术仍未突破 (3) 封装测试环节是未来国产化的突破重点我国十二五期间的 02 专项计划 和 大基金 一期为国家前几年集成电路产业的发展提供了有力支持, 随着 大基金 二期的加投及撬动的万亿级别的地方资源, 将加速集成电路产业链向大陆转移 其中封装测试占我国集成电路销售规模 35%, 以封测环节作为入口, 进一步拓展集成电路领域, 将会是我国未来在集成电路技术发展重点突破的领域 应用材料 泛林 阿斯麦 东京电子 泰瑞达 爱德万等集成电路设备行业的国际龙头将是未来我国集成电路设备企业赶超的对象 4 国产化情况目前, 集成电路设备国产化率普遍低于 20%, 国内市场遭国外巨头垄断 比如光刻机 离子注入设备 氧化扩散设备国产化率均低于 10%, 蚀刻机约 10%, 薄膜沉积设备约 10-15%, 封测设备国产化率普遍小于 20% 43

44 图 3-2 集成电路设备国产化率概况 ( 二 ) 光刻设备 光刻作为晶圆制造最为关键的步骤之一, 是将所设计的 IC 电路图映射到硅片上的程序 光刻环节所需要的设备光刻机是整个过程中最核心的设备, 光刻设备占设备投资总额的 24%, 单台设备价格在 2000 万美金以上, 一个晶圆厂需要几台左右, 目前最为先进的 EUV 光刻机 ( 应用于 7nm 制程 ) 单价在 1 亿美元以上, 高端光刻机市场被 ASML 公司垄断 1 光刻工艺流程光刻工艺是以光学光刻为基础, 利用光学系统把掩膜版上的图形精确地投影曝光到涂过的光刻胶的硅片上 具体来说就是在硅片表面匀胶, 通过一系列的光源能量 形状控制手段, 将光束透射过画着线路图的掩膜版, 经物镜补偿各种光学误差, 将掩膜版块上的图形转移到光刻胶上, 把器件或电器结构临时成比例缩小后映射到硅片上, 然后使用化学方法显影, 得到刻在硅片上的电路图 44

45 图 3-3 光刻工艺的主要流程 2 光刻机关键技术及原理光刻机是一种投影曝光系统, 由紫外光源 光学镜片 对准系统等部件组装而成 在半导体制作过程中, 光刻设备会投射光束, 穿过印着图案的掩模及光学镜片, 将线路图曝光在带有光感涂层的硅晶圆上 ; 通过蚀刻曝光或未受曝光的部份来形成沟槽, 然后再进行沉积 蚀刻 掺杂, 架构出不同材质的线路 ; 此制程被一再重复, 就能将数以十亿计的 MOSFET 或其他晶体管, 建构在硅晶圆上, 形成一般所称的集成电路 形象一点来说, 光刻机就是一个放大的单反相机, 光刻机就是将光罩上的设计好集成电路图形通过光线的曝光印到光感材料上, 形成图形 最核心的就是镜头, 这个不是一般的镜头, 可以达到高 2 米直径 1 米, 甚至更大 45

46 图 3-4 ASML 光刻机的简易工作原理图 上图中各设备的作用介绍如下 : 测量台 曝光台 : 是承载硅片的工作台 ; 激光器 : 也就是光源, 是光刻机核心设备之一 ; 光束矫正器 : 矫正光束入射方向, 让激光束尽量平行 ; 能量控制器 : 控制最终照射到硅片上的能量, 曝光不足或过足都会严重影响成像质量 ; 光束形状设置 : 设置光束为圆型 环型等不同形状, 不同的光束状态有不同的光学特性 ; 遮光器 : 在不需要曝光的时候, 阻止光束照射到硅片 ; 能量探测器 : 检测光束最终入射能量是否符合曝光要求, 并反馈给能量控制器进行调整 ; 掩模版 : 一块在内部刻着线路设计图的玻璃板, 贵的要数十万美元 ; 46

47 掩膜台 : 承载掩模版运动的设备, 运动控制精度是 nm 级的 ; 物镜 : 物镜用来补偿光学误差, 并将线路图等比例缩小 ; 内部封闭框架 减振器 : 将工作台与外部环境隔离, 保持水平, 减少外界振动干扰, 并维持稳定的温度 压力 2.1 光源光源是光刻机核心之一, 光刻机的工艺能力首先取决于其光源的波长, 在其他条件不变的情况下, 光源的波长越短, 工艺水平就越高 图 3-5 各类光刻机光源的具体参数 光源的发展历程从汞灯产生的紫外光源 (UV: Ultraviolet Light) 到准分子激光的深紫外光源 (DUV: Deep Ultraviolet Light) 再到现如今的极紫外光源 (EUV: Extreme Ultraviolet Light), 光源的波长越来越短 最早的光刻机采用的是汞灯光源, 从 g-line 发展到 l-line, 波长缩小到 365nm, 实际对应的分辨率大约在 200nm 以上 后来业界开始采用 DUV 光源, 将波长进一步缩小到 ArF 的 193nm, 由于 F2 准分子激光存在许多技术障碍, 转而采用 ArF+ 浸入技术 (Immersion Technology) 的方案, 将波长等效缩小为 134nm 侵入技术就是让镜头和硅片之间的空间浸泡于液体之中 由于液体的折射率大于 1, 使 47

48 得激光的实际波长会大幅度缩小 目前主流采用的纯净水的折射率为 1.44, 所以 ArF 加浸入技术实际等效的波长为 193nm/1.44=134nm 从而实现更高的分辨率 这之后, 业界开始采用极紫外光源 EUV 来进一步提供更短波长的光源 目前主要采用的办法是将准分子激光照射在锡等靶材上, 激发出 13.5nm 的光子, 作为光刻机光源 目前, 各大晶圆厂在 7nm 以下的最高端工艺上都会采用 EUV 光刻机, 其中三星在 7nm 节点上就已经采用了 而目前只有荷兰 ASML 一家能够提供可供量产用的 EUV 光刻机 2.2 分辨率光刻机的分辨率 (Resolution) 表示光刻机能清晰投影最小图像的能力, 是光刻机最重要的技术指标之一, 决定了光刻机能够被应用的工艺节点水平 但必须注意的是, 虽然分辨率和光源波长有着密切关系, 但两者并非是完全对应 具体而言二者关系公式是 : 公式中 R 代表分辨率 ;λ 代表光源波长 ;k1 是工艺相关参数, 一般多在 0.25 到 0.4 之间 ;NA(Numerical Aperture) 被称作数值孔径, 是光学镜头的一个重要指标, 一般光刻机设备都会明确标注该指标的数值 在光源波长不变的情况下,NA 的大小直接决定和光刻机的实际分辨率, 也等于决定了光刻机能够达到的最高的工艺节点 2.3 套刻精度套刻精度 (Overlay Accuracy) 的基本含义时指前后两道光刻工序之间彼此图形的对准精度 (3σ), 如果对准的偏差过大, 就会直接 48

49 影响产品的良率 对于高阶的光刻机, 一般设备供应商就套刻精度会提供两个数值, 一种是单机自身的两次套刻误差, 另一种是两台设备 ( 不同设备 ) 间的套刻误差 也是光刻机的重要指标 2.4 工艺节点工艺节点 (nodes) 是反映集成电路技术工艺水平最直接的参数 目前主流的节点为 0.35um 0.25um 0.18um 90nm 65nm 40nm 28nm 20nm 16/14nm 10nm 7nm 等, 本文主要从光刻机促进工艺节点发展方面进行探讨 在 65nm 工艺及以前, 工艺节点的数值几乎和光刻机的最高分辨率是一致的 由于镜头 NA 的指标没有太大的变化, 所以工艺节点的水平主要由光源的波长所决定 ArF 193nm 的波长可以实现的最高工艺节点就是 65nm 在 65nm 以后, 由于光源波长难于进一步突破, 业界采用了浸入式技术, 将等效的光源波长缩小到了 134nm 不仅如此, 在液体中镜头的 NA 参数也有了较大的突破 根据 ASML 产品数据信息, 采用浸入技术之后,NA 值由 发展到了 , 从而进一步提高了分辨率 同时, 在相移掩模 (Phase-Shift Mask) 和 OPC(Optical Proximity Correction) 等技术的协同助力之下, 在光刻设备的光源不变的条件下, 业界将工艺节点一直推进到了 28nm 在 28nm 之后, 由于单次曝光的图形间距已经无法进一步提升, 所以业界开始广泛采用 Multiple Patterning( 多重图案化 ) 的技术来提高图形密度, 也就是利用多次曝光和刻蚀的办法来产生更致密图形 但采用 Multiple Patterning 技术会导致了掩模 (Mask) 和生产工 49

50 序的增加, 直接导致了成本的剧烈上升, 同时给良率管理也带来一定的麻烦 同时由于前述的原因, 节点的提升并没有带来芯片性能成比例的增加, 所以目前只有那些对芯片性能和功耗有着极端要求的产品才会采用这些高阶工艺节点技术 于是,28nm 便成为了工艺节点的一个重要的分水岭, 它和下一代工艺之间在性价比上有着巨大的差别 所以 28nm 节点会成为一个所谓的长节点, 在未来比较长的一段时间里都会被广泛应用, 其淘汰的时间也会远远慢于其它工艺节点 根据业界的实际情况, 英特尔和台积电一直到 7nm 工艺节点都依然使用浸入式 ArF 的光刻设备 但是对于下一代的工艺, 则必须采用 EUV 光源光刻机 注 :HKMG 为 high-k 绝缘层 + 金属栅极技术 ;FinFET 为 Fin Field-Effect Transistor, 鳍式场效应晶体管技术 图 3-6 工艺节点 工艺 光刻机光源类型关系图 50

51 3 市场竞争情况目前市场上主要的光刻机供应商有荷兰的 ASML 日本的康尼 (NIKON) 和佳能 (CANON), 以及中国大陆的上海微电子装备 (SMEE) 但光刻机领域的龙头老大是荷兰 ASML( 阿斯麦 ),45nm 以下的高端光刻机的市场中, 占据 80% 以上的份额, 尤其在极紫外光 (EUV) 领域, 目前处于垄断地位 随着集成电路工艺的不断推进, 未来 7nm 5nm 必须采用 ASML 生产的 EUV 光源光刻机, 一台价格高达 1 亿美元, 而且每年仅生产十几台, 需求远大于供给 根据有关新闻报道,2018 年 5 月中芯国际向 ASML 订购一台 EUV 光源光刻机, 价格为 1.2 亿美元 ( 人民币约 7.65 亿元 ), 预计 2019 年交付 日本尼康在高端光刻机上完全被 ASML 击败, 即便尼康的 ArF 光刻机售价仅仅不到 ASML 的一半也无补于事 Intel 台积电 三星用来加工 14/16nm 芯片的光刻机都是买自 ASML, 格罗方德 联电以及中芯国际等晶圆厂的光刻机主要也是来自 ASML 上海微电子是目前国内唯一能做光刻机的企业, 在已量产的光刻机产品中, 性能最好的是能用来加工 90nm 芯片的 SSA600/20 光刻机 由此可见, 国产光刻机要突破垄断还有很长的路需要走 图 年全球光刻机总销售情况 ( 单位 : 台 ) 51

52 ( 二 ) 薄膜沉积设备 成膜工艺 : 根据膜的种类不同, 可以分为金属膜 氮化层膜 二氧化硅膜等 根据成膜的工艺大致可以分为物理类工艺和化学类工艺 如果消耗表面的硅原子, 则主要是通过氧化的方式成膜, 沉积工艺则不需要消耗氧原子 沉积工艺又可以分为物理气相沉积 (PVD) 化学气相沉积 (CVD) 分子束外延 电镀法等 CVD 技术 (Chemical Vapor Deposition, 化学气相沉积 ), 是把含有构成薄膜元素的反应剂蒸气引入反应室, 在衬底表面发生化学反应生成薄膜的过程 如果是要实现金属镀膜, 则主要采用的是溅射的方式, 利用高能粒子撞击金属靶材 ( 江丰电子等提供的高纯度靶材 ), 把金属原子从靶材中撞击出来后沉积到硅片上, 溅射的方式属于物理气相沉积 根据 Gartner 数据, 薄膜沉积设备占设备投资总额的 18% 左右, 仅次于光刻设备 ; 其中物理气相沉积 (PVD) 设备占比在 4% 左右, 而化学气相沉积 (CVD) 设备占比在 14% 左右 薄膜沉积 (CVD&PVD) 设备的单价在 万美元之间, 一个晶圆厂需要 30 台左右 AMAT 在 CVD 设备和 PVD 设备领域都保持领先, 而北方华创 沈阳拓荆等国内企业正在突破 : 其中北方华创可应用于 14nm 制程的 HM PVD 和 AI PVD 设备开始进入生产线验证, 应用于 28nm 制程的 PVD 设备已量产 1 化学气相沉积(CVD) 设备化学气相淀积是通过气体混合的化学反应在硅片表面淀积一层固体膜的工艺, 应用的工艺包括光刻前的气相底成膜以及形成绝缘介质层 ( 如氮化硅 ) CVD 设备包括 APCVD( 常压 CVD) LPCVD( 低压 52

53 CVD) 等离子体增强 CVD(PECVD) 和高密度等离子体 CVD(HDPCVD) 表 3-3 各种类型的 CVD 反应器及其主要特点 工艺优点缺点应用 APCVD( 常压 CVD) LPCVD( 低压 CVD) 等离子辅助 CVD 等离子体增强 CVD (PECVD) 高密度等离子体 CVD (HDPCVD) 反应简单淀积速 度快, 低温 高纯度和均匀性, 一 致的台阶覆盖能力, 大的硅片容量 低温, 快速淀积, 好的台阶覆盖 能力, 好的间隙 填充能力 台阶覆盖能力差, 有颗粒沾污, 低产 出率高温, 低的淀积速 率, 需要更多的维 护, 要求真空系统 支持要求 RF 系统, 高成本, 压力远大于张力, 化学物质 ( 如 H2) 和颗粒沾污 资料来源 : 半导体制造技术 国海证券研究所 2 物理气相沉积设备 (PVD) 低温 SiO2( 掺杂或不 掺杂 ) 高温 SiO2( 掺杂或不 掺杂 ) Si3N4 多晶硅 高的深宽比间隙的填充, 金属上的低温 H2SiO2,ILD-1,ILD, 为了双镶嵌结构的铜籽晶层钝化 用于集成电路制造业中的金属化工艺被称为物理气相沉积, 通过 淀积一层金属化薄膜, 并辅助光刻及刻蚀的方法, 从而在芯片上实现 互联金属线和接触孔或通孔链接 3 市场竞争 目前 AMAT( 应用材料公司 ) 占据全球沉积设备的主导地位,2017 年年 CVD 设备营收为 13 亿美元, 市场占比 59.9% PVD 设备收入为 12.5 亿美元, 市场占比为 76% AMAT 设备更是一应俱全, 覆盖 ALD CVD PVD ECD 多种工艺 除了 AMAT 外, 在 2017 年全球 CVD 设备市 场占比中,LAM( 泛林集团 ) 占 31.1% TEL( 东京电子 ) 占 6.0% ASML 占 2.5%; 在 2017 年全球 PVD 设备市场占比中,Oerlikon( 欧瑞康 瑞 士 ) 占 8.0% Canon( 佳能 ) 占 6.8% MVS 占 4.5% 从国内来看, 从 53

54 事研发 设计 生产 销售薄膜沉积设备的企业有北方华创 中微半 导体 沈阳拓荆等 图 全球 CVD 设备市场占比 图 全球 PVD 设备市场占比 来源 :SEMI, 中泰证券研究所 4 市场预测根据不完全统计 ( 截止到 2018 年 4 月 ), 国内将于 2018 或 2019 年实现投产 / 量产的 12 寸厂共 16 条, 合计投资额 6,058 亿元 其中存储器项目共 6 条, 合计投资额 3,752 亿元 ; 非存储器项目共 10 条, 合计投资额 2,306 亿元 国内计划建设 12 寸晶圆厂共有 13 座, 其中有已经披露投资额的共计 4,946 亿元 晶圆厂从动工到量产通常需要 1 年半到 2 年时间, 设备采购在投产前 1 年左右开始, 且大部分采购在投产前后一年完成 假设以投产前一年为起点, 未来 4 年设备投入占比为 40% 30% 20% 10%; 可以算出 年设备投资约为 1,550 亿元 1458 亿元 根据 Gartner 数据, 薄膜沉积设备占整体设备的 18% 左右 ; 其中物理气相沉积设备占比在 4% 左右, 而化学气相沉设备占比在 14% 左右, 可知薄膜沉积设备预计 年规模约为 276 亿元 286 亿元 具体内容如下 54

55 表 3-4 膜沉积设备 年市场规模 ( 单位 : 亿元 ) E 2019E 2020E 2021E 2022E 占设备投资 溅射 Sputtering 原子层化学气相气相沉积 ALCVD 电气化学沉积 ECD 有机金属化学气相沉积 MOCVD 气相外延 VPE 常压 / 低压化学气相沉积 APCVD/LPCVD 等离子体化学气相沉积 % % % % % % % 合计 % 数据来源 :Gartner, 广发证券发展研究中心 ( 三 ) 刻蚀设备 当前 3D NAND 多重曝光对刻蚀提出了更高的要求, 所以刻蚀通常与光刻相结合, 共同刻出芯片电路 在芯片制造过程中, 常常需要在硅片表面做出极微细尺寸的图形, 而这些微细图形最主要的形成方式, 是使用刻蚀技术将光刻技术所产生的光刻胶图形, 包括线 面和孔洞, 准确无误地转印到光刻胶底下的材质上, 以形成整个芯片所应有的复杂结构 55

56 图 3-10 刻蚀步骤 1 刻蚀技术刻蚀技术可以分为湿法刻蚀 (Wet Etching) 和干法刻蚀 (Dry Etching) 早期刻蚀技术是采用湿法刻蚀的方法, 即利用特定溶液与薄膜之间所进行的化学反应来去除被刻蚀部分而达到刻蚀的目的 干法刻蚀又可以分为物理刻蚀和化学刻蚀 物理刻蚀是利用辉光放电将气体 ( 如 Ar) 电离成带正电的例子, 再利用偏压将粒子加速, 溅击在被刻蚀物表面而将刻蚀物的原子击出 化学刻蚀是利用等离子体将刻蚀气体电离形成带电离子, 扩散到被刻蚀薄膜表面与被刻蚀薄膜表面原子反应生产具有挥发性的产物, 并被真空设备抽离 目前芯片制造大多采用的是物理和化学相结合的方法, 即反应离子刻蚀 (Reactive Ion Etching,RIE) 表 3-5 干法刻蚀与湿法刻蚀比较 干法刻蚀 湿法刻蚀 原理等离子溅射或发生化学反应溶液与薄膜的化学反应 工作介质处理材料 气体 Si SiO2 Al W 液体 Si SiO2 Si3N4 Al Cr 56

57 优点 缺点 保真度高, 图形分辨率高, 清洁性好, 无大量废液 设备复杂, 刻蚀速率不高, 选择性不如湿法 工艺 设备简单, 成本低, 产能高 刻蚀速率高 使得光刻胶边缘下面的薄膜也会被刻蚀, 线条宽度难以控制 效果 2 未来用到刻蚀会越来越多对于 NAND( 闪存 ) 来说, 目前 2D NAND 工艺已经逼近极限 2D NAND 晶体管是平面排布的, 为了提高容量 降低成本,NAND 的工艺不断地进步, 从早期的 50nm 一路演进到目前的 15/16nm 虽然先进工艺带来更大的容量, 但是随着容量的提升, 工艺的复杂程度以及成本都在急剧地上升 3D NAND 相比于 2D NAND, 它是立体式堆叠的, 通过垂直半导体通道的方式连接, 多层环绕式栅极 (GAA) 结构形成的多电栅极存储器单元晶体管 相比于 2D NAND,3D NAND 不仅可以增加单位面积的存储容量, 还可以有效的降低单位成本, 是未来的发展方向 3D NAND 的制造更为复杂, 需要多次刻蚀, 工艺设备要求也越高 相比于平面 NAND 闪存工艺,3D NAND 由于器件结构发生巨大变化, 相应的刻蚀工艺也与以往大不相同 最主要的新增特色工艺都是围绕着 3D 结构制备, 包括 :(1) 台阶刻蚀 : 为了后续单独连接每一层控制栅层,3D NAND 有多少层就需要进行多少次光刻 刻蚀 (2) 沟道通孔刻蚀 : 需要一次性刻蚀穿所有的沉积薄膜层, 对刻蚀技术要求高, 普遍采用的是感应耦合等离子刻蚀 (ICP) 设备 (3) 切口刻蚀 : 57

58 与沟道通孔刻蚀相同, 但是通常采用单独刻蚀机完成 (4) 接触孔刻蚀 : 同样属于深宽比刻蚀工艺, 但要求更高, 需要更高的刻蚀停止层的选择比 一般采用电容耦合等离子刻蚀 (CCP) 设备 对于此外在 Logic( 逻辑电路 ) 和 DRAM ( 动态随机存取存储器 ) 领域, 多重曝光也对刻蚀有着很大的需求 在芯片制程的光刻环节中, 因为 EUV 光刻机价格昂贵, 目前绝大多数依然采用 193nm 浸入式光刻机, 为了提高工艺需要进行多重曝光, 也就带动了刻蚀的需求 刻蚀机单价在 200 万美元左右, 一个晶圆厂需要 台刻蚀机, 行业龙头是 Lam Research 国产刻蚀机的市场份额已从 1% 提升至 6%: 中微半导体的 16nm 刻蚀机已实现商业化量产,7-10nm 刻蚀机设备已达到世界先进水平 ; 北方华创可应用于 14nm 制程的硅刻蚀机也开始进入生产线验证 3 市场竞争目前, 全球刻蚀设备主要以 LAM 和 TEL 为主 2017 年 LAM(Lam Research) 刻蚀设备营收为 18 亿美元, 占全球市场份额的 43% TEL (Tokyo Electron) 刻蚀设备收入为 14 亿美元, 占全球市场份额的 34% 但这两者的领域各有不同,LAM 主要收入来源是硅基刻蚀设备, 而 TEL 主要是依靠介质刻蚀设备 另外,AMAT 设备收入为 4.8 亿美元, 占比 12%,HHT(Hitachi High-Technologies, 日立高新技术公司 ) 收入为 3.6 亿美元, 占比 9% 从国内来看, 目前中微半导体在介质刻蚀领域取得重大进展 中微半导体经过 13 年的技术自主创新, 已经开发了从 65nm 到 7nm 器 58

59 件的等离子体刻蚀设备, 设备可以涵盖大部分 Logic 器件和 Memory 器件的介质刻蚀 目前公司的多项技术和设备已经优于国外巨头,CCP 刻蚀机以及 TSV 硅通孔 /MEMS 刻蚀机向 AMAT 及 LAM 看齐 4 市场规模根据 Gartner, 刻蚀设备占整体设备的 9.8% 左右 ; 主要包括介质刻蚀设备与硅刻蚀设备 根据测算, 年国内晶圆厂建设对应的刻蚀设备市场空间分别为 亿元 ; 其中介质刻蚀市场需求分别为 亿元 ; 硅刻蚀设备市场需求分别为 亿元 声明 1 本文部分内容来自互联网公开信息搜索, 仅作为学习研究之用, 切勿用于商业用途, 否则由此引发的法律纠纷及连带责任我们概不承担 如有侵犯您的合法权益请来信告之 我们会在三个工作日内予以清除 2 除部分网络搜索内容, 本文所涉及文字 图片 图表等版权均归文章作者所有, 未经其本人授权许可不得转载 摘编或以其他方式使用 59

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!"#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+, " $ 2 * ' /+ / / / (+ 5 (/(

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+,  $ 2 * ' /+ / / / (+ 5 (/( 第 # 卷第 # 期 # 年 月 =2>3(8 &27& "432"? @543A B 1%&# &&&( %&# 8-*%&&# & - % # CD%1/)* / % # # & # 9&,%&# " &%&./01 &-. # 9& ; ##&&&&&&&&&&&&&&&&&&&&&&& ( -& ; # #; ; & &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& 9CC1/)*

More information

LPKFPCB LPKF ProConductProMask LPKF 1,800W mm (inch) 580/290/350 (22.8"/11.4"/13.8") mm (inch) 440/210/290 (17.3"/8.3"/11.4") PCB ProtoMask 35

LPKFPCB LPKF ProConductProMask LPKF 1,800W mm (inch) 580/290/350 (22.8/11.4/13.8) mm (inch) 440/210/290 (17.3/8.3/11.4) PCB ProtoMask 35 LPKF LPKF LPKF LPKF LPKF LPKF LPKF LPKF 的吸尘器噪音 适用于 ProtoMat S 系列和激光设备 ProtoLaser S U ProtoMat S ProtoLaser S U 22,500 Pa 241 m 3 /hour (142 cfm) 800W (230V/50Hz) 250mm/300mm/350mm (10"/12"/14") 噪音 50 db(a)

More information

CIP 1 μm μm [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息

CIP 1 μm μm [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息 碳-石墨产品 特种石墨 1 单晶硅制造设备 2 临界等离子测试设备 JT-6 * 照片由日本原子能研究开发机构提供 (1) (2) CIP 1 μm 2 25 1 μm 5 1 15 2 [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息 / 未经东洋炭素的事先许可, 不得使用或转载本目录信息 6 LEDEDM * * CVD 7 未经东洋炭素的事先许可, 不得使用或转载本目录信息

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

材料导报 研究篇 年 月 下 第 卷第 期 种球的制备 单步溶胀法制备分子印迹聚合物微球 洗脱处理 种子溶胀聚合机理 种球用量的影响

材料导报 研究篇 年 月 下 第 卷第 期 种球的制备 单步溶胀法制备分子印迹聚合物微球 洗脱处理 种子溶胀聚合机理 种球用量的影响 水相中 组氨酸单分散分子印迹聚合物微球的合成 表征及其识别性能研究 李思平等 李思平 徐伟箭 较佳工艺条件下 在水性体系中选用无皂乳液聚合法制得的单分散微米级聚苯乙烯微球为种球 分别以组氨酸 甲基丙烯酸 或丙烯酸胺 乙二醇二甲基丙烯酸酯 为模板分子 功能单体和交联剂 合成了 组氨酸分子印迹聚合物微球 研究了形貌 粒径及其分布以及模板分子与功能单体之间的相互作用 分别以 激光粒度分析仪紫外分光光度法和红外光谱表征功能单体与交联剂之间的共聚情况

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

幻灯片 1

幻灯片 1 证券研究报告 ( 增持, 维持 ) 半导体材料迎来黄金发展期 李明刚 ( 基础化工行业高级分析师 ) SAC 号码 :S0850515040001 2016 年 8 月 25 日 目录 1. 半导体的生产与封装离不开化工品 2. 半导体材料是国内芯片生产的最薄弱环节 3. 半导体材料迎来黄金发展期 4. 主要化学品 : 光刻胶 大硅片 CMP 电子气体 5. 总结 : 国内重点上市公司估值表 2 半导体材料是电子信息产业的重要支撑材料

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2 CHI EF 镜头使用说明书 EF24-70mm f/4l IS USM 感谢您购买佳能产品! 使用注意事项 如果将镜头从寒冷的环境拿到温暖的环境中, 镜头表面和内部零件可能会发生结露 高温可能导致镜头故障 特点 安全注意事项 安全注意事项 请勿透过镜头或相机观看太阳或明亮的光源 无论镜头是否装在相机上, 请勿将没有盖上镜头盖的镜头置于太阳下 本说明中使用的符号 CHI-1 安全注意事项 2. 设置对焦模式

More information

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9>

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9> P/N: 品名 DY-U-001:UL80 105 PVC 电线绝缘料 ( 通用型 ) 适用于 标准额定耐温等级 80 105 的 PVC 电线绝缘材 料 ( 绝缘厚度大于 0.76MM, 导体截面积小于 20AWG 规格的电子线请选 择 DY-U-008 专用型 ) 产品符合欧盟 ROHS 2.0 REACH 等环保要求 Volume resistivoty 体积电阻率 Ω.m 1.0 10 11

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

Microsoft Word - HDPE牌号.doc

Microsoft Word - HDPE牌号.doc 拉伸屈服应力,MPa 断裂伸长率,% 鱼眼, 个 /1520cm 2 典型值 测试方法 典型值 测试方法 典型值 3300F 1.1 3682 0.95 1033 21 6000F 0.54(5kg) 3682 0.953 1033 21.0 7000F 0.04 3682 0.955 1033 24 测试方测试方 0.8mm 典型 0.4mm 典典型值测试方法法法值型值 500 6 34 GB 11116

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

太阳能应用

太阳能应用 为何选择 Entegris?...3 Entegris 在太阳能应用方面...6 Entegris 在 c- 硅制造方面的能力...7...8...9 /...10...11...12 Entegris 在薄膜制造方面的能力...13...14...15...16...17...18...19 www.pvprocesssolutions.com 2 ENTEGRIS, INC. Entegris?

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 缺考 英语一 缺考 数学三 缺考 思想政治理论 60 英语一 78 数学三

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 缺考 英语一 缺考 数学三 缺考 思想政治理论 60 英语一 78 数学三 100019000470001 思想政治理论 英语一 数学三 100019000470002 思想政治理论 60 英语一 78 数学三 119 100019000470003 思想政治理论 61 英语一 72 数学三 121 100019000470004 思想政治理论 62 英语一 82 数学三 108 100019000470005 思想政治理论 英语一 数学三 100019000470006

More information

( ) A 1, [][] 6,500 [2009]

( ) A 1, [][] 6,500 [2009] 1 66 4 1-1-1 ( ) A 1,656 1 2009 [][] 6,500 [2009]94 2009 11 16 1-1-2 1-1-3 1 [2009]94 [2009]223 A 1,656 10% 165.60 2. 4,844 1,656 A 6,500 [2009]94 3 2008 2008 2009 2009 6 30 18,885.28 4 (1) 1-1-4 8 12

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半 218 年 4 月 1 日中小盘研究 半导体研究系列之二 ( 设备 ): 星星之火, 燎原之势渐起 中小盘伐谋主题伐谋 - 中小盘主题报告 孙金钜 ( 分析师 ) 吴吉森 ( 联系人 ) 21-68866881 sunjinju@xsdzq.cn 证书编号 :S2851812 21-68865595 wujisen@xsdzq.cn 国内半导体迎来新投资周期, 半导体设备市场持续向好 : 当前我国集成电路产品对外依存度较高,

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

洛科威工业保温岩棉

洛科威工业保温岩棉 洛科威 工业保温岩棉 35 28 97% 11,000 + 238m * 100:1 16.16b * 23% 2 * 3 不燃性 憎水性 洛科威 岩棉的不燃和防火绝缘特性提供更全面的人员 洛科威 岩棉憎水处理技术使保温系统在潮湿环境下长 财 产 和 环 境 保 护 岩 棉 承 受 温 度 高 达 1000 C 保 护 设 期使用不会造成霉变 保证了系统的安全性和耐久性 备在较高使用温度下正常运行免受不必要的损害

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

第 期 等 乙腈 碳酸氢钠溶液混合物电嫁接叔丁氧羟基 乙二胺 7B7! " # $% # &'#! "% #!! #& (& )&# &#&# #*$% + %!, # $% "( ( # ( # "! #-.& #% & (& %" & %& & #& (& & )&# &#& # & "%&/

第 期 等 乙腈 碳酸氢钠溶液混合物电嫁接叔丁氧羟基 乙二胺 7B7!  # $% # &'#! % #!! #& (& )&# &#&# #*$% + %!, # $% ( ( # ( # ! #-.& #% & (& % & %& & #& (& & )&# &#& # & %&/ 第 (, 卷第 ( 期 ( 1 年 月 )0 2032 =F 0=< D@ 6 G H7!.2(,222 7.2( $&.22( 1,./.,( EI.! "&7. B ( 2 '>20.2*' & &.201 21 -(5>2, 22222222222222222222222 # /, B, 1 -( 15 (, 2 2222222222222222222222222222222 >EE! "&7..:.!

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

D4

D4 4 020 Application Trend and Fabrication Introduction of 3D Integrated Circuits Through Silicon Vias Technology Abstract The three-dimensional integrated circuits through silicon vias (3D IC TSV) technology

More information

内 容 提 要

内 容 提 要 CEPEA 中国电子专用设备工业协会 行业简讯 二〇一八年第十期 10 月 18 日发 协会办公室编印 ( 总第 369 期 ) ( 行业内交流 ) 内容提要行业动态 2018 年上半年中国半导体设备经济运行分析与 2018 年展望根据中国电子专用设备工业协会对国内 42 家主要半导体设备制造商的统计 :2018 年 1-6 月半导体设备完成销售收入 56.52 亿元, 同比增长 45.1%; 出口交货值完成

More information

江南大学硕士学位论文图像式刀具预调仪测控系统的设计姓名 : 王诣申请学位级别 : 硕士专业 : 机械制造及自动化指导教师 : 张秋菊 ; 尤丽华 20080601 图像式刀具预调仪测控系统的设计 作者 : 王诣 学位授予单位 : 江南大学 本文读者也读过

More information

目录 FTH FTH - 5 mm - 1 kg 4 FSTH FSTH - 1 mm 45-3 kg 431 FPOB FPOB 0-1 mm 1-0 kg 432 FPTH FPTH - 1 mm 1-20 kg 433 FPU FPU - mm - 1 kg 434 FPO FPO - 1 m

目录 FTH FTH - 5 mm - 1 kg 4 FSTH FSTH - 1 mm 45-3 kg 431 FPOB FPOB 0-1 mm 1-0 kg 432 FPTH FPTH - 1 mm 1-20 kg 433 FPU FPU - mm - 1 kg 434 FPO FPO - 1 m 42 目录 FTH FTH - 5 mm - 1 kg 4 FSTH FSTH - 1 mm 45-3 kg 431 FPOB FPOB 0-1 mm 1-0 kg 432 FPTH FPTH - 1 mm 1-20 kg 433 FPU FPU - mm - 1 kg 434 FPO FPO - 1 mm - 0 kg 435 42 系列 FTH 采用聚氨酯胎面 Blickle Extrathane

More information

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13 [Table_MainInfo] 行业研究 / 信息设备 / 电子元器件 行业深度报告 证券研究报告 2016 年 09 月 12 日 [Table_InvestInfo] 投资评级增持维持 市场表现 [Table_QuoteInfo] 7681.50 6609.81 5538.11 4466.42 3394.73 电子元器件 2323.04 2015/8 2015/11 2016/2 2016/5

More information

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排 机械原理包装工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理包装工程 2016 上课 16 4 9-12 A-202 汪成龙 机械原理 2015 上课 16 4 9-12 A-202 汪成龙 机械原理机械电子工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理 机械原理 机械原理 机械原理 电路原理 电路原理 电路原理 2015 上课 16 4 9-12 A-202

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材 证券研究报告 行业专题报告 信息技术 电子 推荐 ( 维持 ) 半导体设备和材料的国产化机遇 2016 年 05 月 24 日半导体行业深度专题之五 上证指数 2844 行业规模 占比 % 股票家数 ( 只 ) 164 5.8 总市值 ( 亿元 ) 17929 4.2 流通市值 ( 亿元 ) 12564 3.7 行业指数 % 1m 6m 12m 绝对表现 -6.2-15.9-22.2 相对表现 -3.0

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

4 26 Silver Interconnect Technology Intel 22 Fin FET Abstract In view of commercial electronic product requirements, the integration circuit (IC

4 26 Silver Interconnect Technology Intel 22 Fin FET Abstract In view of commercial electronic product requirements, the integration circuit (IC 4 26 Silver Interconnect Technology Intel 22 Fin FET 10 10 Abstract In view of commercial electronic product requirements, the integration circuit (IC) manufacturing technology needs to keep moving to

More information

没有幻灯片标题

没有幻灯片标题 集成电路制造工艺 北京大学 集成电路设计与制造的主要流程框架 系统需求 设计 掩膜版 芯片制造过程 芯片检测 封装 测试 单晶 外延材料 集成电路的设计过程 : 设计创意 + 仿真验证 功能要求 行为设计 (VHDL) 行为仿真是综合 优化 网表 否 时序仿真 是 布局布线 版图 否 设计业 后仿真 Sing off 集成电路芯片设计过程框架 是 否 From 吉利久教授 芯片制造过程 制造业 硅片

More information

2 目录 投资要点 全球半导体硅片产业现状 全球半导体硅片产业发展情况 全球半导体硅片产业竞争格局 巨头垄断 未来几年, 全球需要多少半导体硅片? 目前全球 IC 晶圆代工厂产能情况 未

2 目录 投资要点 全球半导体硅片产业现状 全球半导体硅片产业发展情况 全球半导体硅片产业竞争格局 巨头垄断 未来几年, 全球需要多少半导体硅片? 目前全球 IC 晶圆代工厂产能情况 未 [Table_MainInfo] 行业研究 / 信息设备 / 电子元器件 行业深度报告 证券研究报告 2017 年 1 月 4 日 [Table_InvestInfo] 投资评级增持维持 市场表现 [Table_QuoteInfo] 6959.18 6031.95 电子元器件 海通综指 全球半导体硅片产业深度研究 : 供需关系进入新周期 [Table_Summary] 投资要点 : 5104.72

More information

Sector ― Subsector

Sector ― Subsector 机械设备 证券研究报告 板块最新信息 增持 公司名称 股票代码 收盘价 评级 北方华创 002371.CH 37.45 买入 晶盛机电 300316.CH 20.92 买入 长川科技 300604.CH 56.65 买入 至纯科技 603690.CH 19.49 买入 资料来源 : 万得, 中银证券以 2017 年 12 月 19 日当地货币收市价为标准 主要催化剂 / 事件 下游中芯国际等厂商技术持续突破

More information

领域 产品性质 相关标的 大硅片 目前日本信越 SUMCO 等 6 家企业形成寡头垄断格局, 占据全球 90% 以上市场份额 我国主要生产 6 英寸及以下硅片,8 英寸仅有少数厂商生产 300mm 大硅片目前国内基本上无法供应 目前上海新阳参股上海新昇, 预计今年年底建成一期项目 上海新阳 (02

领域 产品性质 相关标的 大硅片 目前日本信越 SUMCO 等 6 家企业形成寡头垄断格局, 占据全球 90% 以上市场份额 我国主要生产 6 英寸及以下硅片,8 英寸仅有少数厂商生产 300mm 大硅片目前国内基本上无法供应 目前上海新阳参股上海新昇, 预计今年年底建成一期项目 上海新阳 (02 行业研究 证券研究报告 半导体材料 2016 年 08 月 29 日 报告 行业深度研究 半导体材料 半导体应用升级 + 国产化, 电子化学品将迎来爆发期 行业评级推荐 评级变动首次推荐 主要观点 证券分析师 1. 半导体产业向国内转移趋势明显, 重磅政策支持保证国产化率提升 半导体行业业绩虽然暂无兑现, 但是产业向国内转移趋势 ( 台积电南京 建厂等 ) 明确, 各级政府重磅支持政策持续推出, 我国半导体行业处于

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 砥砺前行, 开启国产离子注入机新篇章 曾晓斌 北京中科信 2018 年 03 月 16 日 目 录 一 新形势下离子注入机发展需求二 国产离子注入机发展现状三 新一代中束流离子注入机四 发展规划 1 集成电路产业作为国民经济和社会发展的战略性 基础性 先导性产 业, 关乎国家核心竞争力和国家安全 集成电路装备作为构筑集成电路产业的基石, 是核心竞争力的重要组 成部分 中国集成电路产业进入新时代 习近平中国特色社会主义新时代,

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1 化工行业 深度研究 公司研究 证券研究报告 推荐 ( 维持 ) 风险评级 : 中风险 2018 年 2 月 26 日投资要点 : 李隆海 SAC 执业证书编号 : S0340510120006 电话 :0769-22119462 邮箱 :LLH@dgzq.com.cn 主要数据 2018 年 2 月 26 日 收盘价 ( 元 ) 27.94 总市值 ( 亿元 ) 54.14 总股本 ( 亿股 ) 193.77

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

GE VB2-12 组装极柱真空断路器 引领电气化未来

GE VB2-12 组装极柱真空断路器 引领电气化未来 GE VB-1 组装极柱真空断路器 引领电气化未来 GE GE GE 170 GE 1878 189 GEGE 1896 GE 8 1906 GE 1908 GE 193 GE 01 5 GE GE 18,000 50 013 GE 70 GE GE 013 010 013 01 BARRON S 01 Interbrand 010 007 R&D 90 90 余年的开断经验 GE 在 190 年率先开始进行真空灭弧室实验,

More information

HP and Canon 单色通用芯片表 SCC 芯片 图片 HP 700 M712, 700 M725 CF214X (14X) 17.5 HP 5200 Q7516A U16-2CHIP SSS 846 芯片记号 (U16-2) Canon LBP-3500, LBP-3900, LBP-392

HP and Canon 单色通用芯片表 SCC 芯片 图片 HP 700 M712, 700 M725 CF214X (14X) 17.5 HP 5200 Q7516A U16-2CHIP SSS 846 芯片记号 (U16-2) Canon LBP-3500, LBP-3900, LBP-392 HP and Canon 单色通用芯片表在线访问我们的网站, 可以得到更多的信息 : www.scc-inc.com/chipcenter 全部开始都是专利通用芯片一个芯片, 多个不同型号的硒鼓 注意 : 当在这个文档上要寻找一个特殊的 或打印机的型号时, 在你的键盘上同时按 CTRL 键和 F 键就能搜索到 HP and Canon 单色通用芯片表 SCC 芯片 图片 HP 700 M712, 700

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information

张成思 本文运用向量系统下的协整分析方法 针对 年不同生产和消 费阶段的上中下游价格的动态传导特征以及货币因素对不同价格的驱动机制进行分析 研究结果表明 我国上中下游价格存在长期均衡关系 并且上中游价格对下游价格具有显 著动态传递效应 而下游价格对中游价格以及中游价格对上游价格分别存在反向传导的 倒逼机制 另外 货币因素对上游价格的动态驱动效果最为显著 但并没有直接作用于下 游价格 因此 虽然货币政策的现时变化可能在一段时间内不会直接反映在下游居民消费价格的变化上

More information

1-16页

1-16页 17 报告 18 报告 焊接 质量 19 I 20 报告 01 02 03 04 21 22 能量高度集中 科学 ELI Beamline 可以用于做很多事情, 包括产生反物质, 以及在几分之一秒内将分子重新排列 23 照明 24 科学 如今, 在 Hilase 项目已经实现了功率 250 千瓦的泵浦光源 最终的目标功率是 1000 千瓦 25 26 人物 M 27 ö 28 人物 为什么能源利用效率如此重要?

More information

度 理 -2-

度 理 -2- 理 CMP CMP CMP 了 Slurry 5~10 30~100 奈 nm 粒 1 ph 例 KOH NH 4 OH HNO 3 2 例 3 降 更 Repeatability CMP 力 CMP 來 CMP 來 粒 SiO 2 Al 2 O 3 CeO 2 來 例 金 金 料 CMP 金 了 量 CMP 20 CMP 700 m 3 CMP 1999 年 2000 年 4.088 x 10 8

More information

山 东 省 重 点 行 业 技 术 发 展 白 皮 书 ( 二 ) 山 东 省 经 济 和 信 息 化 委 员 会 2016 年 7 月 前 言 推 进 供 给 侧 结 构 性 改 革, 必 须 牢 固 树 立 创 新 发 展 理 念 面 对 经 济 发 展 新 常 态 和 新 一 轮 全 球 产 业 变 革, 全 省 工 业 战 线 主 动 响 应 国 家 战 略, 积 极 调 整 发 展 思

More information

Conductix-Wampfler Inductive Power Transfer IPT 2

Conductix-Wampfler Inductive Power Transfer IPT 2 IPT 产品简介 非接触式动力和数据传输系统 Conductix-Wampfler Inductive Power Transfer IPT 2 Conductix-Wampfler Inductive Power Transfer IPT 3 9 1 2 3 4 5 6 7 8 4 2 3 4 1 8 5 7 9 6 ² ² ² - 无限的应用可能性... 用于变速箱总装线上的 AGV 小车

More information

Microsoft PowerPoint - vlsi_chapter04

Microsoft PowerPoint - vlsi_chapter04 第 4 章 CMOS 集成电路的制造 本章目录 4.1 硅工艺概述 4.2 材料生长与淀积 4.3 刻蚀 4.4 CMOS 工艺流程 4.5 设计规则 2018-9-5 第 4 章 CMOS 集成电路的制造 1 4.1 硅工艺概述 平面工艺, 多层加工 以硅圆片为单位制作 硅圆片及其芯片部位 圆片的直径 :100~300mm 圆片的厚度 :0.4~0.7mm 2018-9-5 第 4 章 CMOS

More information

Microsoft Word - 附件11_2_.doc

Microsoft Word - 附件11_2_.doc 附件 11 版本号 :FH-2013-001 柔性端头多层片式陶瓷电容器 MLCC WITH FLEX ITERM 一 特性 具有高强度的抗弯曲性能, 下弯可达到 3mm 可增加温度周期变化次数, 最多 3000 次 采用柔性端头体系 可减少线路板因弯曲导致的失效故障 应用范围 应用于高弯曲的线路板 应用于温度变化的线路 应用于汽车推进系统 一 FEATURE High mechanical performance

More information

学年第二学期集中考试安排 (18 周 ) 考试日期 :7 月 2 日星期一 8:10-9:50 第二公共教学楼 A 大学英语四级 (A) 化工 环境与化学工程学院 8:10-9:50 第二公共教学楼 A 大学英语四级

学年第二学期集中考试安排 (18 周 ) 考试日期 :7 月 2 日星期一 8:10-9:50 第二公共教学楼 A 大学英语四级 (A) 化工 环境与化学工程学院 8:10-9:50 第二公共教学楼 A 大学英语四级 2017-2018 学年第二学期集中考试安排 (18 周 ) 考试日期 :7 月 2 日星期一 8:10-9:50 第二公共教学楼 A101 10720834 大学英语四级 (A) 纺织 1601-2 纺织学院 8:10-9:50 第二公共教学楼 A221 10720834 大学英语四级 (A) 纺织 1603-4 纺织学院 8:10-9:50 第二公共教学楼 A105 10720834 大学英语四级

More information

= = F d ( ) = q ε λ q ε λ q e - + Ar + Ar + hν (2) - - ( ) (Degree of Ionization) 0.1% 100% PECVD 1% PECVD (2) e - + Ar Ar + hν (3) Ar* 1 torr (q ε λ

= = F d ( ) = q ε λ q ε λ q e - + Ar + Ar + hν (2) - - ( ) (Degree of Ionization) 0.1% 100% PECVD 1% PECVD (2) e - + Ar Ar + hν (3) Ar* 1 torr (q ε λ ( ) ( ) ( ) ( ) ( ) e - + Ar Ar + + 2 e - (1) = = F d ( ) = q ε λ q ε λ q e - + Ar + Ar + hν (2) - - ( ) (Degree of Ionization) 0.1% 100% PECVD 1% PECVD (2) e - + Ar Ar + hν (3) Ar* 1 torr (q ε λ i ) (q

More information

PowerPoint Presentation

PowerPoint Presentation The Rise of China IC Industry - As A Global Ecosystem Partner Lung Chu 居龙 President,SEMI China July 13,2017 Outline China s IC Development Why? Government Initiatives vs Market Forces Investments Opportunities

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化 2015 年上海工程技术大学本科分数线查询 学院 湖南辽宁新疆 最高分最低分一本线二本线最高分最低分一本线二本线最高分最低分一本线二本线 机械工程 468 458 446 381 能源与环境系统工程 504 501 500 419 449 444 446 381 机械设计制造及其自动化 ( 现代装备与控制工程 ) 计算机科学与技术 自动化 535 534 526 455 475 449 446 381

More information

Page 2 内容目录 内容目录... 2 图表目录... 3 半导体材料是集成电路产业基石, 至关重要... 4 涉及领域丰富, 用途广泛... 4 材料是半导体产业链上游重要环节... 4 完善的材料体系是半导体产业发展的必然要求... 5 全球半导体向中国转移, 材料面临产业结构性机遇...

Page 2 内容目录 内容目录... 2 图表目录... 3 半导体材料是集成电路产业基石, 至关重要... 4 涉及领域丰富, 用途广泛... 4 材料是半导体产业链上游重要环节... 4 完善的材料体系是半导体产业发展的必然要求... 5 全球半导体向中国转移, 材料面临产业结构性机遇... 行业研究 Page 1 证券研究报告 深度报告 IT 硬件与设备 一年该行业与沪深 300 走势比较 IT 硬件与设备沪深 300 半导体材料行业专题研究 行业专题 超配 ( 维持评级 ) 2016 年 08 月 05 日 1.1 1.0 0.9 0.8 0.7 0.6 A-15 O-15 D-15 F-16 A-16 J-16 相关研究报告 : 行业重大事件快评 : 紫光联合新芯, 加速国家存储器战略落地

More information

学年第一学期临潼校区第十六周期末考试安排 考试时间 课程名称 班级 人数 考试地点 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级

学年第一学期临潼校区第十六周期末考试安排 考试时间 课程名称 班级 人数 考试地点 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C-154 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 2 班 29 C-154 12 月 17 日 9:50-11:50 国际市场营销学 (B) 市场营销 16 级 1 班 26 C-352 12 月 17 日 9:50-11:50 实用管理英语 人力资源管理

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 关于大硅片的研究报告 出品人 : 大硅片产业 01 产品基础介绍 02 主流类别及制备方法 03 全球硅片市场综合分析 04 国内相关公司及投资机会分析 01 产品基础介绍 产品基础介绍 定义 : 硅片又称硅晶圆片, 是制作半导体 集成电路的重要材料, 通过对硅片进行光刻 离子注入等手段, 可以制成集成电路和各种半导体器件 单晶硅 : 是硅的单晶体, 是一种比较活泼的非金属元素, 具有基本完整的点阵结构

More information

利隆塑料

利隆塑料 通用通用通用通用通用通用通用通用 中冲击高冲击高刚性良流动, 高刚性良流动, 中冲击超高刚性超高冲击挤出 700-314 100-322 500-322 250-X10 700-X01 560-X17 300-325 600-309 >ABS< >ABS< >ABS< >ABS< >ABS< >ABS< >ABS< >ABS< 物理特性密度 23 ISO 1183 kg/m 3 1050 1040

More information

é ê

é ê 廖光洪 朱小华 杨成浩 徐晓华 基于南海 年夏季调查航次诊断计算的流函数场 选取越南以东偶极子发生海域 进行 不同的声层析观测站位设置实验 模拟计算声线传播时间信息 然后应用基函数重建方法进行了 流函数场的模拟反演研究 讨论了不同随机观测误差对反演结果的影响 研究结果表明该方法是 可行的 在所选取的约 海域内 在观测海域外围配置 个声层析观测站位就能够很好地重构原流函数场 空间分辨率约为 可以分辨模拟海域中尺度涡场结构

More information

正文目录 1. 集成电路国产化崛起, 半导体材料迎来投资黄金期 国家设计集成电路产业总路线图, 产业基金推动海外并购潮 紫光集团 + 武汉新芯挑起 Memory 大梁, 填补国内空白 紫光 + 武汉新芯巨额投资 Memory... 5

正文目录 1. 集成电路国产化崛起, 半导体材料迎来投资黄金期 国家设计集成电路产业总路线图, 产业基金推动海外并购潮 紫光集团 + 武汉新芯挑起 Memory 大梁, 填补国内空白 紫光 + 武汉新芯巨额投资 Memory... 5 专题 半导体材料行业 报告日期 :2016 年 6 月 30 日 集成电路产业崛起, 半导体材料迎来投资黄金期 半导体材料深度报告 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80106039 :sunfangfang@stocke.com.cn 随着国内集成电路产业崛起, 半导体材料迎来投资黄金期, 我们梳理了国内 A 股半导体材料相关公司

More information

目 录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片 2017 年正式量产, 具有明显战略意义 公司持续高研发投入, 连续三次承接 02 专项 课题

目 录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片 2017 年正式量产, 具有明显战略意义 公司持续高研发投入, 连续三次承接 02 专项 课题 化工行业 深度研究 公司研究 推荐 ( 首次 ) 风险评级 : 一般风险 2017 年 2 月 28 日投资要点 : 李隆海 SAC 执业证书编号 : S0340510120006 电话 :0769-22119462 邮箱 :LLH@dgzq.com.cn 主要数据 2017 年 2 月 28 日 收盘价 ( 元 ) 34.42 总市值 ( 亿元 ) 66.69 总股本 ( 亿股 ) 193.77

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

RM500 CAT / ECM RM300 2

RM500 CAT / ECM RM300 2 CAT 路面再生机/稳定土拌和机 再生和稳定 RM500 CAT / ECM RM300 2 Cat RM500 RM300 3 4 75 mm 3" HMA 125 mm 5" HMA 75 mm 3" HMA 5 提高土壤承重能力 土壤稳定是指以机械或化学方式改进土壤承重特性的过程 粘性和半粘性原 土壤中掺入了煤灰 波特兰水泥和石灰等添加剂 以提高路基的抗压强度或 降低其可塑性 使用正确的添加剂进行稳定

More information

年中国大陆预计新增 12 寸产能 89.5 万片 / 月, 是现有产能的 288% 其中 大陆产商, 武汉新芯 长江存储 合肥长鑫 晋华集成 中芯国际等合计产能是 75.5 万片 / 月, 占比 年新增产能的 84.3%

年中国大陆预计新增 12 寸产能 89.5 万片 / 月, 是现有产能的 288% 其中 大陆产商, 武汉新芯 长江存储 合肥长鑫 晋华集成 中芯国际等合计产能是 75.5 万片 / 月, 占比 年新增产能的 84.3% 随笔 2: 中国半导体产业的思考 : 涨价谁最受益? 谁受 损? 科技真相 科技红利及方向型资产研究 关于中国半导体产业的发展, 我们的独立研究的思考一直都未停止, 在此我们和大家分享我们的理解, 关于涨价谁会受益? 谁会受损? 中国大陆新增 12 寸晶圆产能的扩张刺激硅片需求和供给 2016-2017 年剪刀差的持续扩张, 半导体硅片涨价对半导体晶圆的价格传导, 引发行业晶圆产能降阶抢夺, 我们本节分析了半导体硅片涨价受益品种的路径传导图,

More information

旅游管理 3 电气自动化技术 3 酒店管理 3 智能控制技术 4 计算机网络技术 2 供热通风与空调工程技术 2 电子信息工程技术 2 汽车检测与维修技术 2 物联网应用技术 2 汽车营销与服务 2 会计 3 软件技术 2 财务管理 2 计算机网络技术 2 金融管理 2 电子信息工程技术 2 工商企

旅游管理 3 电气自动化技术 3 酒店管理 3 智能控制技术 4 计算机网络技术 2 供热通风与空调工程技术 2 电子信息工程技术 2 汽车检测与维修技术 2 物联网应用技术 2 汽车营销与服务 2 会计 3 软件技术 2 财务管理 2 计算机网络技术 2 金融管理 2 电子信息工程技术 2 工商企 广西普通高招计划 商务英语 2 机电一体化技术 2 商务日语 2 工业机器人技术 2 酒店管理 2 智能控制技术 2 电气自动化技术 2 汽车检测与维修技术 2 软件技术 2 汽车电子技术 2 物联网应用技术 2 软件技术 2 数控技术 4 计算机网络技术 2 会计 2 电子信息工程技术 2 财务管理 2 机械制造与自动化 2 工商企业管理 2 模具设计与制造 4 物流管理 2 材料成型与控制技术

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 在 线 014 年 半导体硅材料行业 调研报告 简版 关于我们 : 1. 新材料在线 平台 (www.xincailiao.com) 是专注于新材料产业的研究 咨询 服务平台和门户网 站, 提供最佳的新材料行业咨询信息和研究报告, 依托门户网站优势提供宣传平台和交流平台, 依 托专业的团队和资源提供最佳的新材料解决方案 2. 半导体硅材料行业调研报告 版权归新材料在线 平台所有, 欢迎转载 传播 分享,

More information

重庆市电子信息产业三年振兴规划

重庆市电子信息产业三年振兴规划 重 庆 市 电 子 信 息 产 业 三 年 振 兴 规 划 电 子 信 息 产 业 是 国 民 经 济 的 战 略 性 基 础 性 先 导 性 产 业, 也 是 重 庆 市 迅 速 崛 起 的 新 兴 支 柱 产 业, 对 于 拉 动 经 济 增 长 调 整 产 业 结 构 转 变 发 展 方 式 具 有 十 分 重 要 的 作 用 为 进 一 步 明 确 重 庆 市 电 子 信 息 产 业 主 要

More information

Microsoft Word - 20160721_玉山投顧_台股晨訊

Microsoft Word - 20160721_玉山投顧_台股晨訊 玉 山 晨 訊 台 股 晨 訊 台 股 交 易 行 情 單 位 : 億 元 口 指 數 別 收 盤 漲 跌 成 交 量 加 權 指 數 9007.68-27.19 948.70 OTC 130.47-0.52 246.74 7 月 台 指 期 9003.00-29.00 94,642 電 子 指 數 365.82-1.27 547.06 7 月 電 子 期 365.65-0.65 1,898 金 融

More information

目录 : 1 化学机械抛光液行业综述 下游行业需求快速增长 分立器件 集成电路 电子元器件 总结 CMP 抛光液及其发展趋势 CMP CMP

目录 : 1 化学机械抛光液行业综述 下游行业需求快速增长 分立器件 集成电路 电子元器件 总结 CMP 抛光液及其发展趋势 CMP CMP 化学机械抛光液行业报告 版本日期备注 V1.0 2011.5 0 / 26 目录 : 1 化学机械抛光液行业综述... 2 1.1 下游行业需求快速增长... 2 1.1.1 分立器件... 2 1.1.2 集成电路... 4 1.1.3 电子元器件... 7 1.1.4 总结... 8 2 CMP 抛光液及其发展趋势... 8 2.1 CMP... 8 2.1.1 CMP 概念及其重要性... 8

More information

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

预计未来的 5 年内仅 300mm 硅片中国的需求量要超过月产 100 万片以上 300mm 半导体级的大硅片, 不仅是产业链缺失的重要一环, 也是国家安全战略发展的需要, 目前国家相关产业资本不断加大对半导体材料行业的支持 在行业快速发展的大背景下, 我们重点推荐未来成长性高, 产业资本支持力度大

预计未来的 5 年内仅 300mm 硅片中国的需求量要超过月产 100 万片以上 300mm 半导体级的大硅片, 不仅是产业链缺失的重要一环, 也是国家安全战略发展的需要, 目前国家相关产业资本不断加大对半导体材料行业的支持 在行业快速发展的大背景下, 我们重点推荐未来成长性高, 产业资本支持力度大 证券研究报告 电子 行业深度报告 2017 年 2 月 5 日 分析师赵成 021-61680674 Email:zhaocheng@cgws.com 执业证书编号 :S1070516090001 联系人 ( 研究助理 ): 卫志强 021-61680676 Email:weizq@cgws.com 要点 报告摘要 : 半导体单晶硅片行业研究报告 电子元器件行业进口替代探究之一 从业证书编号 :S1070116120005

More information

33 5 Vol.33,No JournalofHebeiUniversityofScienceandTechnology Oct.2012 : (2012) /,, ( 河北科技大学机械工程学院, 河北石家庄 ) : 利用计算流体

33 5 Vol.33,No JournalofHebeiUniversityofScienceandTechnology Oct.2012 : (2012) /,, ( 河北科技大学机械工程学院, 河北石家庄 ) : 利用计算流体 33 5 Vol.33,No.5 2012 10 JournalofHebeiUniversityofScienceandTechnology Oct.2012 :1008-1542(2012)05-0453-06 /,, ( 河北科技大学机械工程学院, 河北石家庄 050018) : 利用计算流体力学软件 FLUENT 的凝固 / 熔化模型, 对双层壁圆筒内填充的铝硅合金相变材料的熔化 / 凝固过程进行了数值模拟,

More information

PYROGRAPH PYROGRAPH CVD PYROGRAPH PYROGRAPH 20 μm OLED PYROGRAPH B < <0.10 <0.01 <0.01 * * [m 2 /s] pp

PYROGRAPH PYROGRAPH CVD PYROGRAPH PYROGRAPH 20 μm OLED PYROGRAPH B < <0.10 <0.01 <0.01 * * [m 2 /s] pp - / PYROGRAPH 55 PERMA KOTE 56 59 62 65 PYROGRAPH PYROGRAPH CVD PYROGRAPH PYROGRAPH 20 μm OLED PYROGRAPH B

More information

日常基础化工行业深度研究

日常基础化工行业深度研究 2017 年 11 月 12 日 基础化工 2017 年日常报告 证券研究报告 评级 : 增持维持评级行业深度研究 长期竞争力评级 : 高于行业均值 市场数据 ( 人民币 ) 市场优化平均市盈率 19.00 国金基础化工指数 4222.47 沪深 300 指数 4111.91 上证指数 3432.67 深证成指 11645.05 中小板综指 12108.85 4772 4599 4426 4253

More information

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月 Tabl e_title Tabl e_baseinfo 2015 年 12 月 27 日 七星电子 (002371.SZ) 半导体究竟什么最赚钱 公告 :1 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权 发行股份价格为 17.49 元 / 股 2 上市公司拟通过向国家集成电路基 金 京国瑞基金和芯动能基金非公开发行股份募集配套资金 点评 : 我们在 14 年年中推出行业深度报告

More information

院系名称 日期 班级 安排 天津职业大学 学年第二学期教学进程及教学行政历 月份 周一

院系名称 日期 班级 安排 天津职业大学 学年第二学期教学进程及教学行政历 月份 周一 安排 一二三四五六七八九十十一十二十三十四十五十六十七十八十九廿一 二三四五六七 教学 考试 暑假 第 1 页 一二三四五六七八九十十一十二十三十四十五十六十七十八十九廿一二三四五六七 15 级机械制造及自动化 1-5 B B B B B B B B B B B B B B O = = = = = = = W 晚入学 15 级电气自动化技术 1-5 B B B B B B B B B B B B B

More information