行业报告

Size: px
Start display at page:

Download "行业报告"

Transcription

1 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/ HeaderTable_Industry 看好 investratingchange.sa me 电子行业 半导体大机遇 上游设备与材料 深度报告 行业 证券研究报告 核心观点 晶圆厂在大陆扩产 集成电路产业基金为半导体行业添助力, 上游设备和材 料迎来快速发展机遇 : 1) 近年来, 大陆集成电路制造扩产迅速, 中芯国际 同方国芯 武汉新芯, 台积电 Global Foundry 等晶圆制造龙头企业都有在中国建厂扩产的规划, 将直接拉动上游材料和设备行业快速发展 2) 国家集成电路产业基金今年也重点关注半导体上游材料和设备 中国大陆半导体材料市场进口替代空间大 1) 半导体材料市场空间上千亿元 :2015 年半导体材料市场产值为 434 亿美 元, 大陆半导体材料稳步上升,2015 年达到 61.2 亿美元, 位列全球第四 但半导体主要材料寡头垄断严重, 国内奋起直追 2) 占比最高的几大类都是海外寡头垄断 : 大硅片的占比最高, 为 32%, 掩 膜版 电子气体 CMP 材料 光刻胶合计占比近 80%, 都是海外垄断 3) 大硅片国产化是必然趋势 : 行业市场空间 76 亿美元, 国内半导体硅片 市场近 30 亿元, 主要由日本厂商垄断, 国际上前 6 家硅片生产企业占全球 的 94% 我国 6 英寸硅片国产化率为 50%,8 英寸硅片国产化率为 10%, 12 英寸硅片完全依赖于进口 国内 300mm 大硅片项目现由上海新阳参股子 公司新昇半导体进行, 目标突破海外垄断, 实现大规模量产 4) 光刻胶海外垄断 : 全球半导体光刻胶市场规模十几亿美金 核心技术基 本掌握在美国和日本手中,JSR 信越化学 TOK 陶氏化学属于行业巨头 国内参与者非常少, 领先的仅北京科华 ( 南大光电参股子公司 ) 和苏州瑞红 5)CMP 抛光耗材被美国垄断国内有望实现突破 : 市场空间近 30 亿美金, 其中 80% 以上为抛光垫和抛光液 国际主流抛光垫厂家有陶氏 卡博特 日 本东丽 台湾三方化学 3M 等, 其中陶氏市占率达 90% 国内仅鼎龙股份 经过长期的研发, 即将于今年年中实现投产 半导体设备行业已逐渐突破海外垄断 : 1) 全球每年近 400 亿美元的设备市场, 中国规模为 49 亿美元, 同比增长 14%, 占全球半导体设备市场的 13% 2) 目前, 集成电路设备产业主要集中在美国 日本等少数国家, 应用材料 阿斯麦 泛林半导体设备 东京电子四家企业合计占到全球半导体设备超过 50% 的市场份额 3) 国产集成电路设备市场份额低, 具有广阔发展空间 晶圆制造流程中的 设备种类较多, 但由于核心设备单价很高, 市场规模占比较高, 比如沉积类 设备占比近 22%, 光刻机及光刻涂胶机合计占比 24%, 刻蚀类设备占 30% 4) 七星电子 北方微电子 中微半导体 上海新阳等逐渐实现设备国产化 投资建议与投资标的 材料建议关注南大光电 鼎龙股份 兴森科技 上海新阳 ; 设备建议关注七 星电子 风险提示 国产化速度低于预期 ; 海内外扩产低于预期 行业评级看好中性看淡 ( 维持 ) 国家 / 地区 中国 /A 股 东方证券股份有限公司经相关主管机关核准具备证券投资咨询业务资格, 据此开展发布证券研究报告业务 东方证券股份有限公司及其关联机构在法律许可的范围内正在或将要与本研究报告所分析的企业发展业务关系 因此, 投资者应当考虑到本公司可能存在对报告的客观性产生影响的利益冲突, 不应视本证券研究报告为作出投资决策的唯一因素 行业 报告发布日期 行业表现 17% 0% -17% -33% -50% 资料来源 :WIND 证券分析师 联系人 电子沪深 300 蒯剑 *8514 kuaijian@orientsec.com.cn 电子 2016 年 06 月 21 日 执业证书编号 :S 胡誉镜 *7518 huyujing@orientsec.com.cn 执业证书编号 :S 王芳 *6068 wangfang1@orientsec.com.cn

2 目录 半导体扩产在行上游进口替代空间大... 5 中国大陆半导体材料市场进口替代空间大... 6 材料是半导体产业的重要支撑... 6 大陆半导体材料市场增速高于全球... 9 半导体主要材料寡头垄断严重, 国内奋起直追 大硅片国产化是必然趋势 11 半导体光掩膜版国内处于发展前期 15 光刻胶海外垄断 17 CMP 抛光海外垄断国内有望实现突破 20 半导体设备行业已逐渐突破海外垄断 投资建议 风险提示

3 图表目录 图 1: 国内 12 寸晶圆厂扩产力度较大... 5 图 2: 集成电路产业基金在向上游加大投资... 6 图 3: 半导体材料是芯片制造环节和封装测试环节的原材料... 7 图 4: 晶圆制造主要流程... 8 图 5: 半导体材料分类一览表... 8 图 6: 大陆半导体材料市场增速高于全球水平 ( 十亿美元 )... 9 图 7: 中国大陆半导体材料市场规模稳定增长 ( 十亿美元 )... 9 图 8: 大陆 IC 设计 制造 封测产值 ( 亿元 ) 图 9: 各晶圆制造材料占比情况 图 10: 全球大硅片市场规模 ( 单位 : 十亿美元 ) 图 11: 硅片尺寸分类及主要应用 图 12: 全球不同尺寸硅片市场现状及发展预测 ( 单位 : 百万平方英寸 ) 图 13:2015 年各地的硅片月产能及占比情况 图 14: 半导体硅片行业是寡头垄断局面 图 15: 上海新阳的大硅片业务投资和产能情况 图 16: 光掩膜版的主要用途 图 17: 光掩膜版的产业链 图 18: 掩膜版外包趋势明显 图 19: 半导体光掩模市场集中度高 图 20: 各主要光掩模公司产品布局 图 21: 掩膜版中主要企业生产链情况 图 22: 全球半导体光刻胶约占光刻胶市场的 1/ 图 23: 中国半导体光刻胶仅占光刻胶市场的 2% 图 24: 全球半导体光刻胶市场规模 图 25: 全球 ArF 和 KrF 光刻胶市场规模将迅速增长 图 26: 紫外正性光刻胶 (g/i 线 ) 产业分布 图 27: 深紫外光刻胶 (248nm+193nm ) 产业分布 图 28: 光刻胶行业国产化进程 图 29:CMP 抛光材料及抛光垫的主要用途 图 30:CMP 抛光垫的竞争格局 图 31: 全球半导体设备各地区市场规模情况 ( 十亿美元 ) 图 32: 全球半导体专用设备市场结构占比 图 33: 2015 年全球半导体专用设备品牌市场占有率 图 34: 国内主要半导体设备制造商

4 图 35:2014 年中国半导体设备十强单位 ( 按收入排序 : 万元 ) 图 36:2015 年半导体设备前十强单位 图 37: 集成电路制造主要流程 图 38: 全球晶圆制造主要设备的市场规模情况 图 39: 各主要设备的应用 市场竞争情况一览图 图 40: 国内半导体材料领域相关公司

5 半导体扩产在行上游进口替代空间大 近年来, 大陆集成电路制造业务发展迅速, 无论是国内的中芯国际 同方国芯 武汉新芯, 还是国外的台积电 Global Foundry 等晶圆制造龙头企业都有在中国建厂扩产的规划, 有利于国内晶圆制造的技术进步, 并且从投资角度来看, 上游的材料和设备行业有望在新建产能的热潮下迎来快速发展机遇 图 1: 国内 12 寸晶圆厂扩产力度较大 大陆主要晶圆厂工厂地点生产项目 月产能 ( 万片 ) 投产时间 中芯国际北京 上海逻辑晶片代工 年 28nm 已量产, 扩产中 本土 晶圆 厂 武汉新芯 武汉 闪存和逻辑电路 年后 紫光 深圳 NANO Flash 和 年后 DRAM 德科玛 ( 香港 ) 淮安 CMOS 感测组件 2 / 同方国芯 / 闪存 年 台积电南京 / 年下半年 16nm 制程投产 Global Foundry 重庆 / 年 外资 设厂 力晶 合肥 逻辑晶片代工 年 联电 厦门 逻辑晶片代工 5 预计 2016 年年底 55/40nm 制程 投产 万代半导体 (AOS) 重庆 MOSFET 一期 2 万 片二期 5 万片 2017 年下半年开始投产 资料来源 : 各公司公告 百度 东方证券研究所 与此同时, 国家集成电路产业基金的投资方向我们认为也将由下游向上转移, 前几年半导体行业的投资以制造和封测为主, 目前封测行业已经快速追赶并成为全球较领先的地位, 制造环节仍在加大投资, 而下一步就是向上游延伸, 提高半导体材料和设备的国产化比例 集成电路产业基金近年来的投资项目也多以制造 封测等为主, 设备和材料是今年以来重点关注的方向, 由于相关公司的规模较小, 大基金目前整体投资金额也较小, 但我们认为, 海外的设备和材料公司都是跟随半导体行业发展而成长为大型公司的, 国内具有这个潜力, 将会在产业基金的助力下快速发展 近日也有消息称, 未来国家将设立第二期与第三期大基金 中国科学院微电子研究所所长叶甜春也曾经提过, 要通过国家资金的投入撬动地方 社会 2~3 倍的资金量, 再撬动 4~5 倍的银行贷款, 使总体投入资金达到万亿元级的规模 5

6 图 2: 集成电路产业基金在向上游加大投资 投资标的 投资金额 ( 亿元 ) 投资时点 所属产业链环节 新昇半导体 材料 七星电子 设备 华天科技 封测 京东方 面板芯片 三安光电 制造 艾派克 设计 紫光集团 设计 中芯国际 制造 中微半导体 设备 通富微电 N/A 封测 长电科技 近 封测 数据来源 : 百度 各公司公告 东方证券研究所 中国大陆半导体材料市场进口替代空间大 材料是半导体产业的重要支撑 半导体材料是半导体产业的重要原材料, 位于半导体产业链的上游, 是制作晶体管 集成电路 电力电子器件 光电子器件的重要基础材料, 支撑着通信 计算机 信息家电与网络技术等电子信息产业的发展 6

7 图 3: 半导体材料是芯片制造环节和封装测试环节的原材料 资料来源 : 智研咨询 东方证券研究所 按产业链工艺环节可以将半导体材料分为晶圆制造材料和封装材料 SEMI 数据显示,2015 年半 导体材料市场产值为 434 亿美元, 晶圆生产材料和封装材料分别占比 55.5% 和 44.5%, 晶圆生产 的材料市场规模约为 240 亿美元 7

8 图 4: 晶圆制造主要流程 数据来源 : 东方证券研究所 晶圆制造材料用于在晶圆上制备一定的设计信息, 包括晶圆 ( 硅片 ) 光掩模版 光刻胶 电子气 体等, 封装材料用于保护和连接, 包括层压基板 引线框架等 图 5: 半导体材料分类一览表 半导体材料硅片及硅基材晶圆制造材料光掩模版 主要用途全球 95% 以上的半导体芯片和器件是用硅片作为基底功能材料生产出来的形成于玻璃基板上的细微图案, 带有设计信息, 通过曝光将信息转写于硅晶片上 8

9 光刻胶电子气体 CMP 材料靶材等 感光有机物, 帮助将光掩模版上的图形转移到硅片表面材料上广泛用于薄膜 刻蚀 掺杂 气相沉积 扩散等工艺广泛用于集成电路和超大规模集成电路硅片的抛光用于半导体溅射 封装材料 层压基板 引线框架 焊线 模压化合物 底部填充料 液体密封剂 粘晶材料 锡球等 用于半导体的封装测试 资料来源 : 百度 东方证券研究所 大陆半导体材料市场增速高于全球 近年来, 随着半导体产业链往大陆转移, 中国大陆半导体材料的市场规模呈现稳步上升的趋势, 2015 年市场规模达到 61.2 亿美元, 位列全球第四, 较 2014 年同比增长约 2%, 远高于全球市场规模的平均增长水平 SEMI 预计全球半导体材料市场规模 2016 年将增长 2% 图 6: 大陆半导体材料市场增速高于全球水平 ( 十亿美元 ) 图 7: 中国大陆半导体材料市场规模稳定增长 ( 十亿美元 ) 全球规模中国规模全球 YoY 中国 YoY 台湾南韩日本中国北美欧洲其他地区 % 6% 4% 2% 0% -2% -4% 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 数据来源 :SEMI 东方证券研究所 数据来源 :SEMI 东方证券研究所 大陆半导体材料的发展与晶圆产能和封测产能的高速发展有密切关系, 制造行业产值自 2010 年至 2015 年年复合增长率达 15%, 封装行业产值自 2010 年至 2015 年年复合增长率达 17% 9

10 图 8: 大陆 IC 设计 制造 封测产值 ( 亿元 ) 1600 设计制造封测 数据来源 : 中国半导体行业协会 东方证券研究所 半导体主要材料寡头垄断严重, 国内奋起直追 在半导体材料中, 大硅片的占比最高, 达到 32% 的水平, 掩膜版 电子气体 CMP 材料 光刻胶合计占比近 80%, 是影响半导体制造流程中最主要的材料 而占比最高的几大类都是海外寡头垄断 材料行业的发展限制很多在于专利壁垒, 而国内正通过交叉授权专利 自主研发等方式解决国外垄断情况 图 9: 各晶圆制造材料占比情况 2% 3% 4% 5% 6% 13% 32% 硅片 掩膜版 电子气体 CMP 材料 光刻胶配套试剂光刻胶 7% 14% 14% 化学试剂 靶材 10

11 资料来源 : 中国产业信息网 东方证券研究所 大硅片国产化是必然趋势 硅片尺寸升级, 大尺寸成为市场主流 硅片的制备过程把硅锭切割成晶圆 ( 硅片 ), 并对硅片进行抛光和清洗 大硅片占整个半导体材料市场的 32% 左右, 行业市场空间 76 亿美元 国内半导体硅片市场规模为 130 亿元左右, 占国内半导体制造材料总规模比重达 42.5% 而这一领域主要由日本厂商垄断, 我国 6 英寸硅片国产化率为 50%,8 英寸硅片国产化率为 10%,12 英寸硅片完全依赖于进口 图 10: 全球大硅片市场规模 ( 单位 : 十亿美元 ) 数据来源 : 中国产业信息网 Semi 东方证券研究所 目前市场上在使用的硅片有 200mm(8 英寸 ) 300mm(12 英寸 ) 硅片 由于晶圆面积越大, 在同一晶圆上可生产的集成电路 IC 越多, 成本越低, 硅片的发展趋势也是大尺寸化 12 英寸硅片主要用于生产 90nm-28nm 及以下特征尺寸 (16nm 和 14nm) 的存储器 数字电路芯片及混合信号电路芯片, 是当前晶圆厂扩产的主流 由于面临资金和技术的双重压力, 晶圆厂向 450mm(18 英寸 ) 产线转移的速度放缓, 根据国际预测, 到 2020 年左右,450mm 的硅片开发技术才有可能实现初步量产 11

12 图 11: 硅片尺寸分类及主要应用 硅片尺寸出现时间节点市场占比主要应用 450 mm(18 英 寸 ) 尚未量产 mm(12 英 寸 ) 2001 年以后 预计 2017 年将 超过 75% DRAM 闪存 图像传感器 电源管理芯 片以及芯片面积较大的复杂逻辑和微处 理器芯片 200 mm(8 英寸 ) 1991 年以后次于 12 英寸 MEMS LCD 驱动 IC MCU 专用存 储器等 150 mm 及以下 (6 英寸及以下 ) 1960 年 0.75 英寸, 1980 年 6 英寸 很少 分立器件 数据来源 : 百度, 东方证券研究所 300mm 硅片自 2009 年起成为全球硅圆片需求的主流 ( 大于 50%), 预计占比将持续增加, 至 2017 年将达到 75% 图 12: 全球不同尺寸硅片市场现状及发展预测 ( 单位 : 百万平方英寸 ) 6 英寸及以下 8 英寸 12 英寸 数据来源 :SEMI, 东方证券研究所 12

13 拥有 300mm 产能最多的公司包括存储器厂商 ( 三星 美光 海力士与东芝 /SanDisk) 全球最大 半导体厂商英特尔 全球最大的两家代工厂台积电与 GlobalFoundries 图 13:2015 年各地的硅片月产能及占比情况 数据来源 :IC Insights 东方证券研究所 行业是寡头垄断局面国产化是必然趋势国内生产的主要是 6 寸硅片,8 寸的自给率不到 10%,12 寸的没有公司能够生产 而现在到未来的 年,12 寸的都是主流 国际上前 6 家硅片生产企业占全球的 94% 信越 (S.E.H.): 唯一一家自 80 年代中期以来持续盈利的硅片公司, 市场份额 27% SUMCO: 公司由三菱硅材料和住友材料 Sitix 分部的合并而来, 市场份额 26% Siltronic: 德国化工企业瓦克 (Wacker) 的子公司, 市场份额 14% MEMC/SunEdison: 有着 50 年商业硅晶制造历史的美国上市公司, 市场份额 11% LG Siltron: 韩国电子系统公司的分支, 市场份额 10% SAS( 中美矽晶 ): 中国台湾硅片制造商, 通过收购 Globitech 和 Covalent,SAS 进入了尖端 IC 应用的半导体硅片市场, 市场份额 6% 13

14 图 14: 半导体硅片行业是寡头垄断局面 6% 6% 信越 27% 10% SUMCO Siltronic 11% 14% 26% MEMC/S unedison LG Siltron SAS 其他 数据来源 : 上海新阳 东方证券研究所 目前, 国内对 12 英寸硅片的需求量为 45 万片 / 月 -50 万片 / 月, 几乎全依赖进口, 根据 中国集成电路产业发展纲要, 预计到 2017 年晶圆需求将突破 60 万片 / 月,2020 年超过 100 万片 / 月, 国内缺口大, 国产化是必然趋势 大硅片的发展瓶颈一方面来自于专利技术, 海外半导体行业发展较早, 日, 美 德 日 韩等国已经为本国的制作工艺申请了层层专利保护, 但基础专利无路可绕, 国外出于利益角度不愿意将专利售于中国 另一方面, 大硅片的投资额和技术难度也较大, 通常, 建 1 条月产 20 万片的 300mm 抛光硅片的生产线仅主要生产设备的投资就需要约 4 亿美元, 而建 1 条月产 20 万片 200mm 抛光硅片的生产线总投资约 2 亿美元 而良率的突破也是难题, 此前虽然有国内研发机构曾经做过 12 寸大硅片, 研发成功, 但由于良率不高还不能量产 国内 300mm 大硅片项目现由上海新阳参股子公司新昇半导体进行, 目标是突破海外垄断, 实现大规模量产 图 15: 上海新阳的大硅片业务投资和产能情况 公司产品尺寸预计投产期投产产能 ( 万片 ) 总设计产能 ( 万 片 ) 主要客户投资额 ( 亿元 ) 新昇半导体 300mm, 用于 一期 2016 年底 15 万片 / 月 60 万片 / 月中芯国际 上海华 一期 亿 40-28nm 节点 力 武汉新芯 总投资 68 亿元 数据来源 : 公司公告 东方证券研究所 14

15 半导体光掩膜版国内处于发展前期 光掩模板可根据应用分为平板显示 触摸屏和 IC 掩模板 (photomask) 在平板显示 触摸屏 半导体制备过程中, 版图是设计与工艺制造之间的接口, 触摸屏 显示屏及集成电路制造商要将版图的图形转移到玻璃基板或晶元上, 必须经过制版过程, 即产生一套分层的版图光刻掩膜版, 从而为后续的图形转化 ( 光刻和刻蚀 ) 做准备 图 16: 光掩膜版的主要用途 图 17: 光掩膜版的产业链 数据来源 : 东方证券研究所 数据来源 : 东方证券研究所 根据 SEMI, 目前全球半导体光刻掩膜版市场规模近 34 亿美元, 即 210 亿人民币 未来光刻掩膜版市场增长速度将在 5% 左右 由于掩膜版是设计和制造的重要衔接, 晶圆制造厂商都有自己的专业工厂来生产自身需要的掩膜版, 因此先进的掩膜版技术也是掌握在具有先进晶圆制造制程的晶圆厂手中 但近年来掩膜版外包的趋势非常的明显, 特别是对于一些 60nm 及 90nm 以上制程的低端产品 外包的掩膜版市场份额从 2003 年时的 30% 上升至 2014 年的 53% 15

16 图 18: 掩膜版外包趋势明显 外包 自制 100% 80% 60% 40% 20% 0% 47% 70% 53% 30% 数据来源 : 东方证券研究所 半导体光掩模市场集中度高, 寡头垄断严重,Photronics 大日本印刷株式会社 DNP 和日本凸版 印刷株式会社 Toppan 三家占据 80% 以上的市场份额 图 19: 半导体光掩模市场集中度高 18% Photronics 32% DNP 27% Toppan 23% 其它 资料来源 :SEMI 2015 东方证券研究所 Photronics 作为全球领先的掩膜版厂商, 主要生产 60nm 及以上制程的光罩 由于掩膜版行业所需要的核心设备光刻机目前仍然是进口为主, 并且高度垄断, 单价一般超过 1000 万元, 高端设备甚至超过 1 亿元, 并且交货周期较长, 导致了我国掩膜版生产厂商对于扩充产能较为谨慎, 限制了行业的发展 16

17 图 20: 各主要光掩模公司产品布局 28/32nm 45/40nm 65nm 90nm 0.11um/0.13um 0.18um 0.25um & above AMTC DNP Toppan Hoya Photronics TMC 资料来源 :GLOBALFOUNDRIES 东方证券研究所 掩膜版由海外垄断, 并且由于上游基板材料成本占比 90%, 生产厂家都不断向上游延伸, 部分已经具备了研磨 抛光 镀铬 涂胶等全产业链生产能力 目前国内除了晶圆代工厂以外, 掩膜版厂商主要分为两类 : 第一类是科研院所, 包括中科院微电子中心, 中国电子科技集团第 13 所 24 所 47 所 55 所等 ; 第二类是专业的掩膜版制造厂商, 主要有路维光电 ( 新三板上市 ) 深圳清溢光电( 中小板挂牌 ) 等 图 21: 掩膜版中主要企业生产链情况 数据来源 : 清溢光电 东方证券研究所 光刻胶海外垄断 光刻胶又称光致抗蚀剂, 将掩膜板上的图形转移到晶圆表面顶层的光刻胶中, 并在后续工序中, 保护下面的材料 ( 刻蚀或离子注入 ) 光刻胶由感光树脂 光引发剂 添加剂 溶剂等组成, 其中, 感光树脂是光刻胶作用的关键组分 光刻胶按应用可分为三个部分 :PCB 光刻胶,LCD 光刻胶和半导体光刻胶, 其中用于集成电路制造的半导体光刻胶约占光刻胶市场的 1/4 17

18 图 22: 全球半导体光刻胶约占光刻胶市场的 1/4 图 23: 中国半导体光刻胶仅占光刻胶市场的 2% 25% 25% PCB 光刻胶 LCD 2% 半导体 2% 其他 1% LCD 光刻胶 24% 27% 半导体光刻胶 其它 PCB 95% 数据来源 : 中国产业信息网 东方证券研究所 数据来源 : 中国产业信息网 东方证券研究所 全球半导体光刻胶市场规模近年来保持稳定增长, 市场规模十几亿美金 图 24: 全球半导体光刻胶市场规模 数据来源 : 中国产业信息网 东方证券研究所 波长越短, 光刻分辨率越高, 为了满足不断缩小的集成电路线宽, 光刻胶的波长也由紫外 g 线 (436nm) i 线 (365nm) 逐渐向深紫外 KrF(248nm ) ArF(193nm) 方向发展 2010 年后, 还陆 续出现了更为高端的超紫外光刻胶 电子束光刻胶 极紫外光刻胶等 18

19 图 25: 全球 ArF 和 KrF 光刻胶市场规模将迅速增长 数据来源 :JSR Gartner 东方证券研究所 全球半导体光刻胶的核心技术基本掌握在美国和日本手中 国内集成电路光刻胶主要以紫外光刻胶为主, 超大规模集成电路用深紫外 248nm KrF 和 193nm ArF 需求量也在增加, 但自给率很低 图 26: 紫外正性光刻胶 (g/i 线 ) 产业分布 图 27: 深紫外光刻胶 (248nm+193nm ) 产业分布 数据来源 : 中国产业信息网 东方证券研究所 数据来源 : 中国产业信息网 东方证券研究所 由于海外半导体产业发展更成熟, 半导体光刻胶市场也基本被外国垄断, 美国 日本 欧洲 韩国 等 JSR 信越化学 TOK 陶氏化学属于行业巨头 19

20 国内从事光刻胶和配套化学产品研究 开发 生产的厂商非常少 与海外的差距主要原因 : 一方面, 高端光刻胶树脂合成及光敏剂合成技术与海外相比有一定差距 ; 另一方面, 高端光刻胶的研究需要价格较高的曝光机和检测设备, 小企业无法承受 此外, 由于光刻胶所应用的电子行业与军工关系密切, 导致国外对高端技术封锁 目前国内在光刻胶领域较为领先的企业仅北京科华 ( 南大光电参股子公司 ) 和苏州瑞红 图 28: 光刻胶行业国产化进程 低端光刻胶 产品名称 PCB 光刻胶 TN/STN-LCD 国产化进程 海外巨头在中国建厂, 无本土企业生产 几乎全部国产, 苏州瑞红约占 30%-40%, 其他是台湾新应材及台湾凯阳 光刻胶 LED 光刻胶 多为进口 半导体光刻胶 产品名称 磺化橡胶类光 国产化进程 已国产化, 北京科华占 40%, 苏州瑞红占 60% 刻胶 g/i 线光刻胶 自给率 10% 左右, 北京科华 2000 万销售, 其余来自台湾和日本 (436/365nm) KrF 光刻胶几乎全部进口, 北京华科 248nm 通过中芯国际认证, 其他都处于研发阶段, 193nm 仅有北京科华立项数据来源 : 中国产业信息网 东方证券研究所 CMP 抛光海外垄断国内有望实现突破 CMP 抛光即化学机械抛光, 主要应用于蓝宝石抛光和集成电路中的硅晶片抛光 CMP 是目前几乎唯一的可以提供硅片全局平面化的技术 抛光的主要耗材包括抛光垫 抛光液 金刚石盘 抛光头 清洗刷 化学清洗剂 抛光磨料的种类 物理化学性质 粒径大小 颗粒分散度及稳定性等均与抛光效果紧密相关 此外, 抛光垫的属性 ( 如材料 平整度等 ) 也极大地影响了化学机械抛光的效果 20

21 图 29:CMP 抛光材料及抛光垫的主要用途 数据来源 : 东方证券研究所 根据 Semi 数据,2015 年全球 CMP 抛光耗材的市场空间近 30 亿美金, 其中 80% 以上为抛光垫和抛光液两种耗材 国际主流抛光垫厂家有陶氏 卡博特 日本东丽 台湾三方化学 3M 等, 其中陶氏在抛光垫市场占有率高达 90% 国内仅鼎龙股份经过长期的研发, 即将于今年年中实现投产 图 30:CMP 抛光垫的竞争格局 10% 陶氏化学 90% 卡博特 日本东丽 台湾三方化学 3M 等 数据来源 : 东方证券研究所 而全球抛光液市场集中在卡博特 杜邦 Fujimi 等厂商中 安集微电子 ( 上海 ) 有限公司是我国从事 集成电路用 CMP 抛光液业务的主要企业, 公司生产的铜 / 铜阻挡层抛光液已成功进入国内外 12 英 21

22 寸客户芯片生产线使用, 主要产品已经进入领先的技术节点, 包括 45nm 40nm 及以下技术节点, 产品性能达到国际领先水平, 并具有成本优势, 打破了国外厂商在高端集成电路制造抛光材料领域的垄断 上海新安纳在抛光液用磨料和存储器抛光液等产品开发方面取得较好进展 半导体设备行业已逐渐突破海外垄断 全球每年近 400 亿美元的设备市场, 据 SEMI 预测,2015 年中国半导体设备市场规模为 49 亿美元, 同比增长 14%, 占全球半导体设备市场的 13% 台湾, 韩国和北美仍是半导体设备支出最主要的区域 图 31: 全球半导体设备各地区市场规模情况 ( 十亿美元 ) 图 32: 全球半导体专用设备市场结构占比 中国欧洲日本韩国美国台湾其他 数据来源 :SEMI 东方证券研究所 F 2016F 晶圆制造设备 封装设备 测试设备 其他前端设备 120% 100% 6% 5% 4% 5% 10% 10% 10% 9% 80% 8% 7% 8% 7% 60% 40% 76% 80% 78% 79% 20% 0% 数据来源 :Semi 东方证券研究所 目前, 集成电路设备产业主要集中在美国 日本等少数国家, 应用材料 阿斯麦 泛林半导体设备 东京电子四家企业合计占到全球半导体设备超过 50% 的市场份额 但国产集成电路设备目前市占率六分之一都不到, 国产半导体设备仍具有广阔发展空间 国内现有的芯片制造与先进封装企业在未来几年的产能将继续扩充, 对设备行业的需求仍大 ; 此外全球芯片制造产业向亚太转移, 目前台湾和韩国拥有全球多数的 12 英寸晶圆产能, 大陆的产能近年扩产提升也较大 22

23 图 33: 2015 年全球半导体专用设备品牌市场占有率 图 34: 国内主要半导体设备制造商 企业名称 2015 年设备收入 ( 亿美元 ) 全球占比 应用材料 % 泛林半导体设备 % 阿斯麦 % 东京电子 % 科磊 % 迪恩 9.7 3% 日立 7.9 2% 其他 % 公司名称 主要设备 所在地 七星电子 清洗机 氧化炉等 ; 收购北方微 北京 电子, 有硅刻蚀机,PVD, TSV 封装等 上海新阳 湿法刻蚀 上海 中科信 注入机等 北京 中微半导体 介质刻蚀机等 上海 上海微电子 封装光刻机等 上海 盛美半导体 硅片清洗机 抛光 镀铜设备等 上海 睿励 光学检测设备等 上海 拓荆科技 PECVD 等 沈阳 数据来源 :Gartner 东方证券研究所 数据来源 : 百度 东方证券研究所 年中国半导体设备十强单位 ( 按半导体设备销售收入排序 ) 图 35:2014 年中国半导体设备十强单位 ( 按收入排序 : 万元 ) 图 36:2015 年半导体设备前十强单位 序号 单位名称 收入 1 中国电子科技集团第四十五研究所 中微半导体设备 ( 上海 ) 有限公司 深圳市捷佳伟创新能源装备股份有限公司 中国电子科技集团第四十八研究所 北京北方微电子 ( 七星电子收购 ) 北京京运通股份有限公司 北京七星华创股份有限公司 浙江晶盛机电股份有限公司 上海微电子装备有限公司 苏州赫瑞特电子专业设备科技有限公司 数据来源 :CEPEA 东方证券研究所 序号单位名称 1 中电科电子装备有限公司 2 浙江晶盛机电股份有限公司 3 中微半导体设备 ( 上海 ) 有限公司 4 北京北方微电子 5 上海微电子装备有限公司 6 天通吉成机器技术有限公司 7 深圳市捷佳伟创新能源装备股份有限公司 8 北京七星华创电子股份有限公司 9 盛美半导体设备 ( 上海 ) 有限公司 10 格兰达技术 ( 深圳 ) 有限公司数据来源 :CEPEA 东方证券研究所 整个晶圆制造过程中涉及的设备包括氧化炉 PVD( 物理气相沉积 ) PECVD MOCVD 光刻 机 涂胶显影机 检测设备等 23

24 图 37: 集成电路制造主要流程 数据来源 : 东方证券研究所 晶圆制造流程中的设备种类较多, 但由于核心设备单价很高, 市场规模占比较高, 比如沉积类设备 占比近 22%, 光刻机及光刻涂胶机合计占比 24%, 刻蚀类设备占 30% 图 38: 全球晶圆制造主要设备的市场规模情况 沉积设备 光刻机 光刻涂胶机 刻蚀 清洗 平整化设备离子注入机 61.1 热处理设备 过程控制机 自动化设备 其他晶圆制造设备 数据来源 :Gartner 东方证券研究所 24

25 图 39: 各主要设备的应用 市场竞争情况一览图 设备名称主要作用垄断情况国外厂商国内厂商图片 氧化炉 为半导体材料进行 国内已突破垄断, 英国 Thermco 公 七星电子 青岛福 氧化处理 进入产业化阶段 司 德国 润德 中国电子科 Centrotherm 技集团第四十八 thermal Solutions 所 青岛旭光仪表 GmbH Co.KG 设备有限公司 中 国电子科技集团第 四十五所等 PVD ( 物理 把靶原子或分子高 AM 占 41% 市场份 美国应用材料公 北方微电子 ( 七星 气相沉积 ) 速率溅射沉积在基 额,AM Tokyo 司 美国 PVD 公 电子 ) 北京仪器 片上形成薄膜 Elctron Lam 司 美国 厂 沈阳中科仪器 Research 合计占近 Vaportech 公司 成都南光实业股份 60% 英国 Teer 公司 瑞 有限公司 士 Platit 公司 德国 Cemecon 公司等 中国电子科技集团第四十八所 科睿设备有限公司等 PECVD 在沉积室利用辉光 已打破欧美 日本 美国 Proto Flex 北方微电子 ( 七星 放电, 使反应气体 垄断局面, 已经国 公司 日本 Tokki 电子 ) 沈阳拓荆 电离后在衬底上进 产化应用 公司 日本岛津公 科技 中国电子科 行化学反应, 沉积 司 美国泛林半导 技集团第四十五 半导体薄膜材料 体 ( Lam Research) 公司 所 北京仪器厂等 中微半导体 荷兰 ASM 国际公 司等 光刻机 将掩膜版上的图形 ASML 垄断光刻机 荷兰阿斯麦 上海微电装备 转移到涂有光刻胶 70% 以上的市场份 (ASML) 公司 日 (SMEE) 中国 的衬底 ( 硅片 ) 上, 额 本尼康公司 日本 电子科技集团第四 致使光刻发生反 Canon 公司 美国 十八所 中国电子 应, 为下一步加工 ABM 公司 德国 科技集团第四十五 ( 刻蚀或离子注 SUSS 公司 美国 所 成都光机所等 入 ) 做准备 Ultratech 公司 奥 地利 EVG 公司等 25

26 涂胶显影机 与光刻机联合作业, 首先将光刻胶均匀地涂到晶圆 东京电子 TLD 占据上胶机 90% 的市场份额 日本东京电子 TLD 德国 SUSS 奥地利 EVG 等 沈阳芯源等 上, 满足光刻机的 工作要求 ; 然后, 处理光刻机曝光后 的晶圆, 将曝光后 的光刻胶中与紫外 光发生化学反应的 部分除去或保留下 来 干法刻蚀机 平板电极间施加高频电压, 产生数百微米厚的离子层, 放入式样, 离子高速撞击式样, 实现化学反应刻蚀和物理撞击, 实现半导体 Lam Research 占据刻蚀市场 38% 的份额 美国应用材料公司 美国 Lam Research 公司 韩国 JuSung 公司 韩国 TES 公司等 北方微电子 ( 七星电子 ) 中微半导体 中国电子科技集团第四十八所等目前已有 14-90nm 的刻蚀机, 并进入产业化阶段 的加工成型 CMP( 化学 机械研磨 ) 通过机械研磨和化学液体溶解 腐蚀 的综合作用, 对被研磨体 ( 半导体 ) 美国应用材料及日本荏原占据着 300 mm 晶圆的 90% 以上的市场 美国 Applied Materials 公司 Ebara 日本荏原等 华海清科 盛美半 导体 中电 45 所 等 进行研磨抛光 湿制程设备 1) 电镀设备 : 将电镀液中的金属离子电镀到晶圆表面, 以形成金属互连 ; 2) 清洗设备 : 去除晶圆表面的残余物 污染物等 ;3) 湿法刻蚀设备 : 通 湿法设备国内空白 日本 DNS 美国应用材料 美国 Mattson ( 已被北京亦庄国投收购 ) 公司等 上海新阳 盛美半导体 沈阳芯源 苏州伟仕泰克等目前湿法刻蚀设备和超声波清洗机, 并进入产业化阶段 过化学刻蚀液和被 刻蚀物质之间的化 学反应将被刻蚀物 质剥离下来 26

27 离子注入 对半导体材料表面 附近区域进行掺 杂 Applied Materials 占据离子注入机市 场 70% 的份额 Applied Materials Axcelis Technologies, 中国电子科技集团 第四十八所 中科 信等 SMIT 数据来源 :Gartner 百度 东方证券研究所 投资建议 目前国内半导体材料相关领域的公司如下 : 图 40: 国内半导体材料领域相关公司 细分行业硅片硅材料光刻胶及配套试剂高纯化学品 CMP 抛光液 CMP 抛光垫高纯靶材特殊气体封装材料 国内厂商上海新阳有研新材 上海新傲 洛阳单晶硅北京科华 ( 南大光电子公司 ) 苏州瑞红上海新阳 上海华谊 苏州瑞晶 江阴润马 安集 天津晶岭鼎龙股份宁波江丰 有研亿金 东方钽业南大光电 光明化工院 中核红华 佛山华特 苏州金宏 大连科利德兴森科技 厦门永红 广州丰红 数据来源 : 百度 东方证券研究所 南大光电 (300346, 未评级 ) : 1) 世界一流的电子材料公司 南大光电是全球 MO 源四巨头之一, 从 MO 源, 延伸到集成电路 太阳能 LED 等所需的电子材料, 尤其是特种气体 2) 电子材料平台 国内特气龙头及唯一上市公司平台 3) 砷化镓半导体上游 今年砷烷 磷烷项目即将投产 鼎龙股份 (300054, 未评级 ): 1 鼎龙是上市公司中唯一一个在打印机耗材最关键环节都布局的公司, 并在耗材芯片 彩粉和硒鼓具有行业领先地位 2 CMP 抛光垫国产化替代空间大, 符合国家半导体发展方向 27

28 3 打印云平台发展潜力较大, 参股的世纪开元发展势头良好 兴森科技 (002436, 未评级 ) : 1)IC 载板当前市场以进口为主, 日韩台占据主要市场, 技术难度较大, 进口替代空间也大 整体市场上百亿美金的空间 公司在广州的基地设备配套一流, 产能和良率都有良好的进展 2) 去年收购的军工企业源科创新为中国固态存储领域信息安全领导者 半导体设备 : 相关标的较少, 具有稀缺性 七星电子 (002371, 未评级 ): 1) 半导体设备龙头企业, 已进入中芯国际供应链 2014 年大陆拉单晶设备 FPD 设备和半导体设备进口额分别为 5.71 亿美元 亿美元和 亿美元 公司开发的先进设备打破国外厂商的长期垄断 2) 吸收合并另一大半导体设备公司北方微电子 北方微的刻蚀机 PVD, 七星的氧化炉 清洗机 CVD 等, 具备协同效应 风险提示 国产化替代速度缓慢, 技术发展或客户开拓不达预期, 都会影响上市公司的盈利 扩产低于预期, 海外对于国内投资持谨慎态度 28

29 分析师申明 每位负责撰写本研究报告全部或部分内容的研究分析师在此作以下声明 : 分析师在本报告中对所提及的证券或发行人发表的任何建议和观点均准确地反映了其个人对该证 券或发行人的看法和判断 ; 分析师薪酬的任何组成部分无论是在过去 现在及将来, 均与其在本研 究报告中所表述的具体建议或观点无任何直接或间接的关系 投资评级和相关定义 报告发布日后的 12 个月内的公司的涨跌幅相对同期的上证指数 / 深证成指的涨跌幅为基准 ; 公司投资评级的量化标准买入 : 相对强于市场基准指数收益率 15% 以上 ; 增持 : 相对强于市场基准指数收益率 5%~15%; 中性 : 相对于市场基准指数收益率在 -5%~+5% 之间波动 ; 减持 : 相对弱于市场基准指数收益率在 -5% 以下 未评级 由于在报告发出之时该股票不在本公司研究覆盖范围内, 分析师基于当时对该股 票的研究状况, 未给予投资评级相关信息 暂停评级 根据监管制度及本公司相关规定, 研究报告发布之时该投资对象可能与本公司存在潜在的利益冲突情形 ; 亦或是研究报告发布当时该股票的价值和价格分析存在重大不确定性, 缺乏足够的研究依据支持分析师给出明确投资评级 ; 分析师在上述情况下暂停对该股票给予投资评级等信息, 投资者需要注意在此报告发布之前曾给予该股票的投资评级 盈利预测及目标价格等信息不再有效 行业投资评级的量化标准 : 看好 : 相对强于市场基准指数收益率 5% 以上 ; 中性 : 相对于市场基准指数收益率在 -5%~+5% 之间波动 ; 看淡 : 相对于市场基准指数收益率在 -5% 以下 未评级 : 由于在报告发出之时该行业不在本公司研究覆盖范围内, 分析师基于当时对该行业的研究状况, 未给予投资评级等相关信息 暂停评级 : 由于研究报告发布当时该行业的投资价值分析存在重大不确定性, 缺乏足够的研究依据支持分析师给出明确行业投资评级 ; 分析师在上述情况下暂停对该行业给予投资评级信息, 投资者需要注意在此报告发布之前曾给予该行业的投资评级信息不再有效

30 免责声明 本研究报告由东方证券股份有限公司 ( 以下简称 本公司 ) 制作及发布 本研究仅供本公司的客户使用 本公司不会因接收人收到本报告而视其为本公司的当然客户 本报告的全体 接收人应当采取必备措施防止本报告被转发给他人 本报告是基于本公司认为可靠的且目前已公开的信息撰写, 本公司力求但不保证该信息的准确性和完整性, 客户也不应该认为该信息是准确和完整的 同时, 本公司不保证文中观点或陈述不会发生任何变更, 在不同时期, 本公司可发出与本报告所载资料 意见及推测不一致的证券研究报告 本公司会适时更新我们的研究, 但可能会因某些规定而无法做到 除了一些定期出版的证券研究报告之外, 绝大多数证券研究报告是在分析师认为适当的时候不定期地发布 在任何情况下, 本报告中的信息或所表述的意见并不构成对任何人的投资建议, 也没有考虑到个别客户特殊的投资目标 财务状况或需求 客户应考虑本报告中的任何意见或建议是否符合其特定状况, 若有必要应寻求专家意见 本报告所载的资料 工具 意见及推测只提供给客户作参考之用, 并非作为或被视为出售或购买证券或其他投资标的的邀请或向人作出邀请 本报告中提及的投资价格和价值以及这些投资带来的收入可能会波动 过去的表现并不代表未来的表现, 未来的回报也无法保证, 投资者可能会损失本金 外汇汇率波动有可能对某些投资的价值或价格或来自这一投资的收入产生不良影响 那些涉及期货 期权及其它衍生工具的交易, 因其包括重大的市场风险, 因此并不适合所有投资者 在任何情况下, 本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任, 投资者自主作 出投资决策并自行承担投资风险, 任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺均 为无效 本报告主要以电子版形式分发, 间或也会辅以印刷品形式分发, 所有报告版权均归本公司所有 未经本公司 事先书面协议授权, 任何机构或个人不得以任何形式复制 转发或公开传播本报告的全部或部分内容, 不得 将报告内容作为诉讼 仲裁 传媒所引用之证明或依据, 不得用于营利或用于未经允许的其它用途 经本公司事先书面协议授权刊载或转发, 被授权机构承担相关刊载或者转发责任 不得对本报告进行任何有 悖原意的引用 删节和修改 提示客户及公众投资者慎重使用未经授权刊载或者转发的本公司证券研究报告, 慎重使用公众媒体刊载的证 券研究报告 HeadertTable_Address 东方证券研究所 地址 : 上海市中山南路 318 号东方国际金融广场 26 楼 联系人 : 王骏飞 电话 : *1131 传真 : 网址 : wangjunfei@orientsec.com.cn

<4D F736F F D20B7BFB5D8B2FAD0D0D2B5B9C0D6B5B8FAD7D9B1A8B8E6B6AFCCAC A3AC4E4156C6BDBEF9D5DBB7F E646F63>

<4D F736F F D20B7BFB5D8B2FAD0D0D2B5B9C0D6B5B8FAD7D9B1A8B8E6B6AFCCAC A3AC4E4156C6BDBEF9D5DBB7F E646F63> 深度报告行业 研究报告 房地产行业 动态 PE16.08X,NAV 平均折幅 16% 房地产行业估值跟踪报告 研究结论 静态 PB 和 PE 水平 : 按 8 月 6 日收盘价测算, 地产行业静态 PE 和 PB 水平分别为 23.12X 和 2.70X, 较上一报告日 (7 月 23 日 ) 升幅为 3% 今年地产行业静态估值最低水平出现在 7 月初, 当前行业静态 PE 和 PB 较 7 月初升幅已近

More information

东方证券报告

东方证券报告 12/04 12/05 12/06 12/07 12/08 12/09 12/10 12/11 12/12 13/01 13/02 13/03 _User 5017334 三花股份 002050.SZ _Stock 002050 增持 investrating Change.same 13021400 _Excel 收入重回增长轨道并购资产拖累业绩 季报点评 事件 公司公布 2013 年一季报 :

More information

<4D F736F F D20BABDCCECD0C5CFA C4EAB0EBC4EAB1A8B5E3C6C02E646F63>

<4D F736F F D20BABDCCECD0C5CFA C4EAB0EBC4EAB1A8B5E3C6C02E646F63> 中报点评 公司 证券研究报告 资代表联系 并请阅读本证券研究报告最后一页的免责申明 航天信息 600271.SH 软件和渠道销售驱动业绩快速增长 事件 : 航天信息 (600271) 发布 2011 年半年报, 收入同比增长 30.17%, 净利润同比增长 30.60% 王天一 联系人 军工行业高级分析师执业证书编号 :S0860210060001 张乐 研究结论 : 软件与渠道销售业务的收入增长与毛利率提升是推动公司上半年业绩超预

More information

东方证券报告

东方证券报告 18/05 18/06 18/07 18/08 18/09 18/10 18/11 18/12 19/01 19/02 19/03 19/04 _User 1200150010 1758448420 奥克股份 300082.SZ _Stock 300082 买入 investrating Change.same 13023100 _Excel 业绩创历史最高, 经营渐入佳境 年报点评 核心观点 业绩创历史最高

More information

东方证券报告

东方证券报告 12/11 12/12 13/01 13/02 13/03 13/04 13/05 13/06 13/07 13/08 13/09 13/10 HeaderTable _User 343958300 click here: http://www.hibor.com.cn 436796323 国机汽车 600335.SH HeaderTable _Stock 600335 增持 investrating

More information

wwwww2 图表 1 收入增速有所放缓 单季度营业收入及增速 4,000 3,500 3,000 2,500 2,000 1,500 1, % 58.0% 63.4% 50.2% 55.5% 48.8% 55.5% 40.8% 35.5% 36.5% 33.9% 35.9

wwwww2 图表 1 收入增速有所放缓 单季度营业收入及增速 4,000 3,500 3,000 2,500 2,000 1,500 1, % 58.0% 63.4% 50.2% 55.5% 48.8% 55.5% 40.8% 35.5% 36.5% 33.9% 35.9 11/10 11/11 11/12 12/01 12/02 12/03 12/04 12/05 12/06 12/07 12/08 12/09 wwwww1 HeaderTable _User 423288675 金螳螂 002081.SZ HeaderTable _Stock 002081 买入 investrating Change.same 13021500 HeaderTable _Excel

More information

HeaderTable _User 云南白药 SZ HeaderTable _Stock 买入 investrating Change.same HeaderTable _Excel 平稳发展, 期待全年 季报点评 核心观点 一季度

HeaderTable _User 云南白药 SZ HeaderTable _Stock 买入 investrating Change.same HeaderTable _Excel 平稳发展, 期待全年 季报点评 核心观点 一季度 _User 1376039237 云南白药 000538.SZ _Stock 000538 买入 investrating Change.same 13022400 _Excel 平稳发展, 期待全年 季报点评 核心观点 一季度业绩符合预期 公司公布一季报,19 年一季度实现营业收入 69.73 亿元, 同比增长 10.04%, 归属上市公司股东的净利润为 8.46 亿元, 同比增长 4.97%,

More information

wwwww2 表 1: 主要财务数据变动分析表主要财务数据变动分析表人民币百万元 ( 标注除外 ) 调整前 调整后 2012E 2013E 2014E 2012E 2013E 2014E 营业收入 3,658 4,922 6,130 3,496 4,770 5,951 变动幅度 -4.44% -3.

wwwww2 表 1: 主要财务数据变动分析表主要财务数据变动分析表人民币百万元 ( 标注除外 ) 调整前 调整后 2012E 2013E 2014E 2012E 2013E 2014E 营业收入 3,658 4,922 6,130 3,496 4,770 5,951 变动幅度 -4.44% -3. 11/11 11/12 12/01 12/02 12/03 12/04 12/05 12/06 12/07 12/08 12/09 12/10 wwwww1 HeaderTable _User 5017305 5017412 5017396 贵研铂业 600459.SH HeaderTable _Stock 600459 买入 investrating Change.same 13022600 HeaderTable

More information

<4D F736F F D20C4FEB2A B6AFCCACB8FAD7D94C4344C6B4BDD3C6B4B7ECC4D1D4D9BDB5A3AC444C50C6B4BDD3CEC8D7F8B8DFB6CBCAD0B3A1>

<4D F736F F D20C4FEB2A B6AFCCACB8FAD7D94C4344C6B4BDD3C6B4B7ECC4D1D4D9BDB5A3AC444C50C6B4BDD3CEC8D7F8B8DFB6CBCAD0B3A1> 动态跟踪公司 证券研究报告 宁波 GQY 300076.SZ LCD 拼接拼缝难再降,DLP 拼接稳坐高端市场 研究结论 大屏幕拼接屏市场快速增长, 同比增长将达 17% 随着整体成本不断下降 技术不断升级和完善 应用领域不断扩展, 大屏幕拼接屏市场已经进入快速增长期 根据奥维咨询统计, 今年上半年整体销售额规模达到 22.8 亿元, 其中 DLP 拼接销售额为 13.9 亿元, 占比达到 61%,LCD

More information

东方证券报告

东方证券报告 12/09 12/10 12/11 12/12 13/01 13/02 13/03 13/04 13/05 13/06 13/07 13/08 _User 343958300 渐飞研究报告 - http://bg.panlv.net 436796323 金龙汽车 600686.SH _Stock 600686 增持 investrating Change.same 13022000 _Excel 预计下半年盈利有望环比改善

More information

_TypeTitle 图 1: 可比公司估值 公司 代码 最新价格 ( 元 ) 每股收益 ( 元 ) 市盈率 2018/8/ A 2018E 2018A 2019E 2017A 2018E 2018A 2019E 花园生物

_TypeTitle 图 1: 可比公司估值 公司 代码 最新价格 ( 元 ) 每股收益 ( 元 ) 市盈率 2018/8/ A 2018E 2018A 2019E 2017A 2018E 2018A 2019E 花园生物 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 18/04 18/05 18/06 18/07 _User 5017243 1376039237 1371365873 金达威 002626.SZ _Stock 002626 买入 investrating Change.same 13022400 _Excel 上半年维生素贡献利润显著, 未来看好保健品领域的布局

More information

_TypeTitle 表 1: 可比公司估值比较 公司 代码 最新价格 ( 元 ) 每股收益 ( 元 ) 市盈率 2018 年 4 月 19 日 2017A 2018E 2019E 2017A 2018E 2019E 拓普集团

_TypeTitle 表 1: 可比公司估值比较 公司 代码 最新价格 ( 元 ) 每股收益 ( 元 ) 市盈率 2018 年 4 月 19 日 2017A 2018E 2019E 2017A 2018E 2019E 拓普集团 17/04 17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 _User 343958300 继峰股份 603997.SH _Stock 603997 买入 investrating Change.same 13022000 _Excel 完善全国布局, 与 GRAMMER 合作有望加速进入全球配套体系 年报点评 核心观点

More information

东方证券报告

东方证券报告 12/03 12/04 12/05 12/06 12/07 12/08 12/09 12/10 12/11 12/12 13/01 13/02 HeaderTable _User 5017334 三花股份 002050.SZ HeaderTable _Stock 002050 增持 investrating Change.same 13021400 HeaderTable _Excel 行业需求不振以及费用计提导致业绩下滑

More information

<4D F736F F D20CBC4CEACCDBCD0C2BCF2C6C0A1AAA1AACFF2D7DBBACFB5D8C0EDD0C5CFA2B7FECEF1C9CCD7AAB1E4>

<4D F736F F D20CBC4CEACCDBCD0C2BCF2C6C0A1AAA1AACFF2D7DBBACFB5D8C0EDD0C5CFA2B7FECEF1C9CCD7AAB1E4> 动态跟踪 公司 证券研究报告 资代表联系 并请阅读本证券研究报告最后一页的免责申明 四维图新 002405.SZ 向综合地理信息服务商转变 事件 : 公司发布公告将使用超募资金 13800 万元, 收购中交宇科 ( 北京 ) 空间信息技术有限公司 51.98% 的股份 研究结论 : 证券分析师 联系人 王天一 wangtianyi@orientsec.com.cn 执业证书编号 :S0860210060001

More information

_TypeTitle 表 1: 可比公司估值表 公司 代码 最新价格 ( 元 ) 每股收益 ( 元 ) 市盈率 2018 年 8 月 29 日 2017A 2018E 2019E 2020E 2017A 2018E 2019E 2020E 兴民智通

_TypeTitle 表 1: 可比公司估值表 公司 代码 最新价格 ( 元 ) 每股收益 ( 元 ) 市盈率 2018 年 8 月 29 日 2017A 2018E 2019E 2020E 2017A 2018E 2019E 2020E 兴民智通 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 18/04 18/05 18/06 18/07 _User 343958300 宁波高发 603788.SH _Stock 603788 买入 investrating Change.same 13022000 _Excel 业绩符合预期, 拓展新客户提供新的增长点 中报点评 核心观点 业绩符合预期 公司上半年实现营业收入

More information

HeaderTable _User 九强生物 SZ HeaderTable _Stock 买入 investrating Change.same HeaderTable _Excel 业绩稳健增长, 平台战略持续推进 年报点评 核心

HeaderTable _User 九强生物 SZ HeaderTable _Stock 买入 investrating Change.same HeaderTable _Excel 业绩稳健增长, 平台战略持续推进 年报点评 核心 HeaderTable _User 1371365873 九强生物 300406.SZ HeaderTable _Stock 300406 买入 investrating Change.same 13022400 HeaderTable _Excel 业绩稳健增长, 平台战略持续推进 年报点评 核心观点 业绩符合预期, 各项费用率保持平稳 公司发布 2018 年报, 报告期内实现营收 7.74 亿元

More information

东方证券报告

东方证券报告 12/09 12/10 12/11 12/12 13/01 13/02 13/03 13/04 13/05 13/06 13/07 13/08 _User 5017339 5017258 鱼跃医疗 002223.SZ _Stock 002223 增持 investrating Change.same 13022400 _Excel 血糖仪开启新征程元年 动态跟踪 投资要点 构建三大系统解决方案是业务拓展主线

More information

Microsoft Word 医...doc

Microsoft Word 医...doc 动态跟踪 行业 证券研究报告 资代表联系 并请阅读本证券研究报告最后一页的免责申明 医药行业 医药板块成长性趋势明显 医药行业半年报前瞻 事件 我们重点关注的医药个股将于本月开始陆续公布半年报, 在此我们对重点覆 李淑花 医药行业首席分析师 8621-63325888 6088 lishuhua@orientsec.com.cn 盖的公司 2011 年半年度业绩进行预测, 为投资者进行提前布局提供参考

More information

我们预测 年公司 BVPS 分别为 14.89/15.64/16.45( 原预测 年 14.80/15.68), 按照可比公司估值, 给公司 18 年 1.4x 倍 PB, 对应目标价 20.84, 维持增持评级 盈利预测小幅波动主要源于, 在参考了 2018Q1 经纪业务市

我们预测 年公司 BVPS 分别为 14.89/15.64/16.45( 原预测 年 14.80/15.68), 按照可比公司估值, 给公司 18 年 1.4x 倍 PB, 对应目标价 20.84, 维持增持评级 盈利预测小幅波动主要源于, 在参考了 2018Q1 经纪业务市 17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 18/04 _User 812202451 1186176688 国泰君安 601211.SH _Stock 601211 增持 investrating Change.same 378348 _Excel 业绩稳健, 亮点频现 年报点评 核心观点 2017 年, 国泰君安实现营业收入

More information

附表 : 财务报表预测与比率分析 资产负债表 单位 : 百万元 利润表 单位 : 百万元 会计年度 E 2011E 会计年度 E 2011E 流动资产 营业收入 现金

附表 : 财务报表预测与比率分析 资产负债表 单位 : 百万元 利润表 单位 : 百万元 会计年度 E 2011E 会计年度 E 2011E 流动资产 营业收入 现金 季报点评公司 研究报告 仙琚制药 002332.SZ 盈利水平稳定增速略有下滑 事件 : 公司公布三季报, 实现销售收入 11.34 亿元, 同比增长 20.4%; 净利润约 8800 万元, 同比增长 41.64%, 合每股收益 0.26 元 公司预计 1-12 月份业绩同比增长 20-50% 研究结论 盈利水平稳定第三季度增速略有放缓 : 公司今年保持稳定增长, 前三季度盈利水平基本稳定, 其中第三季度净利率水平为

More information

_TypeTitle 图 1: 公司商品肉猪出栏头数与均价 出栏头数

_TypeTitle 图 1: 公司商品肉猪出栏头数与均价 出栏头数 17/8 17/9 17/1 17/11 17/12 18/1 18/2 18/3 18/4 18/5 18/6 18/7 _User 1185824214 温氏股份 3498.SZ _Stock 3498 增持 investrating Change.same 13219 _Excel 生猪量价齐升, 预计回暖会持续 7 月销售数据点评 动态跟踪 事件 公司公告 7 月销售商品肉猪 169.67

More information

东方证券报告

东方证券报告 14/11 14/12 15/01 15/02 15/03 15/04 15/05 15/06 15/07 15/08 15/09 15/10 _User 5017243 5017372 森马服饰 002563.SZ _Stock 002563 买入 investrating Change.same 13020700 _Excel 强者愈强, 业绩增速持续稳步提升 季报点评 核心观点 公司 2015

More information

东方证券报告

东方证券报告 HeaderTable _User 1197219667 1371365873 恩华药业 002262.SZ HeaderTable _Stock 002262 买入 investrating Change.same 13022400 HeaderTable _Excel 主力产品表现稳健, 二线品种快速放量 季报点评 事件 : 公司发布 2018 年度一季报, 年初至报告期内实现营收 9.26 亿元

More information

<4D F736F F D20CBABF0D8D2A9D2B5B6AFCCACB5E3C6C05F >

<4D F736F F D20CBABF0D8D2A9D2B5B6AFCCACB5E3C6C05F > 动态跟踪 公司 证券研究报告 资代表联系 并请阅读本证券研究报告最后一页的免责申明 双鹭药业 002038.SZ 布局糖尿病用药市场 事件公司拟出资 200 万美元 ( 约合 1280 万元人民币 ) 与 LIN CHAI 合作在美国特拉华州合资设立新公司 DIAPIN THERAPEUTICS, LLC( 有限责任公司 ), 主要从事糖尿病预防或治疗药物的研发生产 新成立公司注册资本为 950 万美元,

More information

<4D F736F F D20D7CFBDADC6F3D2B5C8FDBCBEB1A8A3A A3A9B5E3C6C0>

<4D F736F F D20D7CFBDADC6F3D2B5C8FDBCBEB1A8A3A A3A9B5E3C6C0> 季报点评 公司 证券研究报告 资代表联系 并请阅读本证券研究报告最后一页的免责申明 紫江企业 600210.SH 地产业务受压, 其他业务开花 事件 : 紫江企业前三季度实现营业收入 63 亿元, 同比增长 18.5%, 实现净利润 5.05 亿元, 同比增长 1.48%, 对应 EPS0.35 元 其中第三季度收入实现 1.86 亿元, 同比增长 9.7%, 净利润为 3981 万元, 同比下降

More information

幻灯片 1

幻灯片 1 证券研究报告 ( 增持, 维持 ) 半导体材料迎来黄金发展期 李明刚 ( 基础化工行业高级分析师 ) SAC 号码 :S0850515040001 2016 年 8 月 25 日 目录 1. 半导体的生产与封装离不开化工品 2. 半导体材料是国内芯片生产的最薄弱环节 3. 半导体材料迎来黄金发展期 4. 主要化学品 : 光刻胶 大硅片 CMP 电子气体 5. 总结 : 国内重点上市公司估值表 2 半导体材料是电子信息产业的重要支撑材料

More information

2015 分红最新预测结果 上市公司已逐步在公布分红信息 截止 5 月 29 日, 沪深 300 成分股已有 269 家公布了分红方案, 其中有 93 家公布了除权除息日,71 家已实施 ; 上证 50 成分股已有 45 家公布了分红方案, 其中有 15 家公布了除权除息日,9 家已实施 ; 中证

2015 分红最新预测结果 上市公司已逐步在公布分红信息 截止 5 月 29 日, 沪深 300 成分股已有 269 家公布了分红方案, 其中有 93 家公布了除权除息日,71 家已实施 ; 上证 50 成分股已有 45 家公布了分红方案, 其中有 15 家公布了除权除息日,9 家已实施 ; 中证 HeaderTable _User 5017378 金融工程 HeaderTable _Stock 股票代码投资评级评级变化行业 code HeaderTable _Excel 分红对期指的影响 2015 分红影响跟踪 ( 七 ) 研究结论 上市公司已逐步在公布分红信息 截止 5 月 29 日, 沪深 300 成分股已有 269 家公布了分红方案, 其中有 93 家公布了除权除息日,71 家已实施

More information

17/04 17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 HeaderTable _User 今世缘 SH HeaderTable _Sto

17/04 17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 HeaderTable _User 今世缘 SH HeaderTable _Sto 17/04 17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 _User 5017336 1195152594 1798949017 今世缘 603369.SH _Stock 603369 买入 investrating Change.same 13022200 _Excel 特 A+ 升级迅猛, 一季度如期迎来开门红

More information

_TypeTitle 盈利预测 我们预测公司 年 EPS 分别为 和 0.65 元, 根据可比公司, 给予公司 18 年 20 倍 P/E 估值, 对应目标价为 7.4 元, 维持买入评级 图 1: 可比公司估值表 公司 代码 最新价格 ( 元 ) 每股收益 ( 元

_TypeTitle 盈利预测 我们预测公司 年 EPS 分别为 和 0.65 元, 根据可比公司, 给予公司 18 年 20 倍 P/E 估值, 对应目标价为 7.4 元, 维持买入评级 图 1: 可比公司估值表 公司 代码 最新价格 ( 元 ) 每股收益 ( 元 17/09 17/10 17/11 17/12 18/01 18/02 18/03 18/04 18/05 18/06 18/07 18/08 _User 810267106 1013244114 1361147007 1544659266 1726549013 长信科技 300088.SZ _Stock 300088 买入 investrating Change.same 13020500 _Excel

More information

<4D F736F F D20D6D0B9FAC6BDB0B2D6D0B1A8B5E3C6C02D E646F63>

<4D F736F F D20D6D0B9FAC6BDB0B2D6D0B1A8B5E3C6C02D E646F63> 中报点评 公司 证券研究报告 资代表联系 并请阅读本证券研究报告最后一页的免责申明 中国平安 601318.SH 利润增长超预期, 寿险不甚乐观 中国平安 2011 年中报点评事件中国平安公布 2011 年半年报, 公司实现净利润 127.57 亿元, 同比增长 32.7%, 每股净利润 1.67 元 ; 期末净资产 1343.34 亿元, 较上年末增长 19.9%, 剔除股本项目变动因素后, 较上年末增长

More information

东方证券报告

东方证券报告 15/04 15/05 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 HeaderTable _User 343958300 1004255018 HeaderTable _Stock 600418 买入 investrating Change.same 13022000 HeaderTable _Excel 江淮汽车 600418.SH

More information

Microsoft Word - 中金黄金2010中报点评 doc

Microsoft Word - 中金黄金2010中报点评 doc 中报点评 公司 研究报告 资代表联系 并请阅读报告最后一页的免责申明 中金黄金 600489.SH 产能增长迅速盈利大幅提升 事件 : 2010 年上半年公司实现营业收入 114.29 亿元, 同比增加 5.18% 实现利润 9.66 亿元, 同比增长 207.66%, 归属于母公司的净利润 4.87 亿元, 较上年同期增长 199.63 %; 每股收益为 0.62 元, 净资产收益率 11.73%

More information

动态跟踪公司 研究报告 浦发银行 SH 战略合作协议签署提供纠错机会 研究结论 浦发银行公告,11 月 25 日该行与中国移动有限公司正式签署了 战略合作协议 根据该协议, 浦发银行将与中国移动在包括现场支付以及远程支付在内的手机支付领域开展合作, 并将联合研发和推广提供多种金融服务功

动态跟踪公司 研究报告 浦发银行 SH 战略合作协议签署提供纠错机会 研究结论 浦发银行公告,11 月 25 日该行与中国移动有限公司正式签署了 战略合作协议 根据该协议, 浦发银行将与中国移动在包括现场支付以及远程支付在内的手机支付领域开展合作, 并将联合研发和推广提供多种金融服务功 动态跟踪公司 研究报告 浦发银行 600000.SH 研究结论 浦发银行公告,11 月 25 日该行与中国移动有限公司正式签署了 战略合作协议 根据该协议, 浦发银行将与中国移动在包括现场支付以及远程支付在内的手机支付领域开展合作, 并将联合研发和推广提供多种金融服务功能的手机金融软件及手机支付安全解决方案, 还将发挥双方的资源优势, 在客户服务和渠道共享等领域开展合作 手机支付将为浦发银行提供低成本资金和中间业务收入增长空间

More information

<4D F736F F D20C1FABEBBBBB7B1A3B6AFCCACB8FAD7D >

<4D F736F F D20C1FABEBBBBB7B1A3B6AFCCACB8FAD7D > 龙净环保 600388.SH 工程总包业务有望成为稳定增长点 脱硝 改造项目接连中标 助力 01 盈利增长 事件 证券分析师 861 6335888 6100 zhoufw@orientsec.com.cn 公司公告中标印度比莱钢厂锅炉及涡轮鼓风机房建筑的设计 制造 供货 安装和调试项目 项目总价折合人民币 3.5 亿元 周凤武 联系人 陈少杰 861 6335888 113 chenshaojie@orientsec.com.cn

More information

东方证券报告

东方证券报告 12-1-1 12-11-1 12-12-1 13-1-1 13-2-1 13-3-1 13-4-1 13-5-1 13-6-1 13-7-1 13-8-1 13-9-1 13-1-1 _User 517287 渐飞研究报告 - http://bg.panlv.net 517364 _Stock 1 买入 investrating Change.same 13216 _Excel 吉利汽车 175.HK

More information

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1 化工行业 深度研究 公司研究 证券研究报告 推荐 ( 维持 ) 风险评级 : 中风险 2018 年 2 月 26 日投资要点 : 李隆海 SAC 执业证书编号 : S0340510120006 电话 :0769-22119462 邮箱 :LLH@dgzq.com.cn 主要数据 2018 年 2 月 26 日 收盘价 ( 元 ) 27.94 总市值 ( 亿元 ) 54.14 总股本 ( 亿股 ) 193.77

More information

<4D F736F F D20B9ABCBBEBCF2C6C0B1A8B8E62DBEB0D0CB2E2E2E646F63>

<4D F736F F D20B9ABCBBEBCF2C6C0B1A8B8E62DBEB0D0CB2E2E2E646F63> 简评 公司 证券研究报告 有关分析师的申明, 见本报告最后部分 其他重要信息披露见分析师申明之后部分, 或请与您的投资代表联系 并请阅读本证券研究报告最后一页的免责申明 景兴纸业 002067.SZ 箱板纸景气, 上半年业绩喜人 公司评级买入增持中性减持 ( 维持 ) 股价 (2011 年 7 月 25 日 ) 7.76 元 目标价 8.80 元 行业 轻工制造 报告发布日期 2011 年 7 月

More information

<4D F736F F D20B3CBD3C3B3B5D0D0D2B5B2DDB8F9B5F7D1D033BCBEB6C8B3CBD3C3B3B5D0D0D2B5BEB0C6F8BBD8C9FDCAC7B4F3B8C5C2CACAC2BCFE2E646F63>

<4D F736F F D20B3CBD3C3B3B5D0D0D2B5B2DDB8F9B5F7D1D033BCBEB6C8B3CBD3C3B3B5D0D0D2B5BEB0C6F8BBD8C9FDCAC7B4F3B8C5C2CACAC2BCFE2E646F63> 简评 行业 证券研究报告 有关分析师的申明, 见本报告最后部分 其他重要信息披露见分析师申明之后部分, 或请与您的投资代表联系 并请阅读本证券研究报告最后一页的免责申明 汽车行业 预期 3 季度乘用车行业景气回升是大概率事件 行业评级看好中性看淡 ( 维持 ) 国家 / 地区 中国 /A 股 报告发布日期 2011 年 06 月 30 日星期四 秦绪文 汽车行业资深分析师 021-63325888-6097

More information

五粮液深度报告 无惧短期扰动, 改革红利渐次释放 投资建议 我们维持公司 年每股收益预测分别为 元, 参考可比公司估值, 给予 公司 18 年 18 倍 PE, 对应目标价 元, 维持买入评级 图表 1: 可比公司估值表 公司 代码 最新

五粮液深度报告 无惧短期扰动, 改革红利渐次释放 投资建议 我们维持公司 年每股收益预测分别为 元, 参考可比公司估值, 给予 公司 18 年 18 倍 PE, 对应目标价 元, 维持买入评级 图表 1: 可比公司估值表 公司 代码 最新 _User 5017336 1195152594 1798949017 五粮液 000858.SZ _Stock 000858 买入 investrating Change.same 13022200 _Excel 控量挺价增速换挡, 改革加速焕发活力 季报点评 事件 公布 2018 年三季报, 前三季度实现营收 292.50 亿元, 同增 33.09%; 实 现归母净利润 94.94 亿元, 同增

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

_TypeTitle 盈利预测与投资建议盈利预测 收入分类预测表 2016A 2017A 2018E 2019E 2020E 氯吡格雷销售收入 ( 百万元 ) 2, , , , ,573.5 增长率 11.0% 10.0% 10.0% 10.0% 7.

_TypeTitle 盈利预测与投资建议盈利预测 收入分类预测表 2016A 2017A 2018E 2019E 2020E 氯吡格雷销售收入 ( 百万元 ) 2, , , , ,573.5 增长率 11.0% 10.0% 10.0% 10.0% 7. 17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 18/04 _User 1197219667 1371365873 _Stock 002294 买入 investrating Change.same 13022400 _Excel 信立泰 002294.SZ 布局药物洗脱球囊, 丰富心脑血管器械产品线 动态跟踪 核心观点

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options)

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) 大连期货市场月报 DALIAN FUTURES MARKET MONTHLY REPORT 市场提要 本月要事 品种运行与价格 交易数据 产业资讯 美国农业部数据 主办 : 大连商品交易所 218 年第 5 期总第 15 期 5 内部资料 妥善保存 月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) CONTENTS 目录 5 月市场提要 1 本月要事

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

内 容 提 要

内 容 提 要 CEPEA 中国电子专用设备工业协会 行业简讯 二〇一八年第十期 10 月 18 日发 协会办公室编印 ( 总第 369 期 ) ( 行业内交流 ) 内容提要行业动态 2018 年上半年中国半导体设备经济运行分析与 2018 年展望根据中国电子专用设备工业协会对国内 42 家主要半导体设备制造商的统计 :2018 年 1-6 月半导体设备完成销售收入 56.52 亿元, 同比增长 45.1%; 出口交货值完成

More information

目 录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片 2017 年正式量产, 具有明显战略意义 公司持续高研发投入, 连续三次承接 02 专项 课题

目 录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片 2017 年正式量产, 具有明显战略意义 公司持续高研发投入, 连续三次承接 02 专项 课题 化工行业 深度研究 公司研究 推荐 ( 首次 ) 风险评级 : 一般风险 2017 年 2 月 28 日投资要点 : 李隆海 SAC 执业证书编号 : S0340510120006 电话 :0769-22119462 邮箱 :LLH@dgzq.com.cn 主要数据 2017 年 2 月 28 日 收盘价 ( 元 ) 34.42 总市值 ( 亿元 ) 66.69 总股本 ( 亿股 ) 193.77

More information

汽车与零部件动态跟踪 新能源汽车技术 + 传统物流车龙头, 有望获得较高市场份额 表 1:2016 年新能源专用车产品目录 资料来源 : 工信部 东方证券研究所 表 2:2015 年新能源专用车推广目录 资料来源 : 工信部 东方证券研究所 图 1: 新能源专用车月度产量数据 资料来源 : 节能和新

汽车与零部件动态跟踪 新能源汽车技术 + 传统物流车龙头, 有望获得较高市场份额 表 1:2016 年新能源专用车产品目录 资料来源 : 工信部 东方证券研究所 表 2:2015 年新能源专用车推广目录 资料来源 : 工信部 东方证券研究所 图 1: 新能源专用车月度产量数据 资料来源 : 节能和新 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 343958300 1004255018 HeaderTable_Industry 13022000 中性 investratingchange.sa me 173833817 汽车与零部件行业 新能源汽车技术 + 传统物流车龙头, 有望获得较高市场份额

More information

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.77 3.45 不适用 941 HK Equity 82.85 73.40 902 HK Equity

More information

行业报告

行业报告 HeaderTable_User 12/12 13/01 13/02 13/03 13/04 13/05 13/06 13/07 13/08 13/09 13/10 13/11 5017380 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 蓝宝石手机镜头保护玻璃应用加速 蓝宝石行业投资趋势跟踪 动态跟踪

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

东方证券报告

东方证券报告 HeaderTable _User 5017356 股票报告网整理 http://www.nxny.com 1197171704 沧州明珠 002108.SZ HeaderTable _Stock 002108 买入 investrating Change.same 13021000 HeaderTable _Excel 业绩整体符合预期, 险资增持看好公司长期发展 季报点评 核心观点 公司三季报业绩整体符合预期,

More information

目录 2016H1 业绩延续快速增长, 符合预期... 4 医药工业占比继续升高, 业务结构逐年优化... 5 费用控制良好, 研发投入加大... 6 招标降价影响渐消, 精麻类可维持高增长... 7 盈利预测与投资建议... 8 盈利预测... 8 投资建议... 9 风险提示

目录 2016H1 业绩延续快速增长, 符合预期... 4 医药工业占比继续升高, 业务结构逐年优化... 5 费用控制良好, 研发投入加大... 6 招标降价影响渐消, 精麻类可维持高增长... 7 盈利预测与投资建议... 8 盈利预测... 8 投资建议... 9 风险提示 15/10 15/11 15/12 16/01 16/02 16/03 16/04 16/05 16/06 16/07 16/08 16/09 HeaderTable _User 1197219667 恩华药业 002262.SZ HeaderTable _Stock 002262 买入 investrating Change.same 新药进入收获期, 业绩符合预期 HeaderTable _Excel

More information

中国最大、最快的研究报告提供商 中国价值投资网

中国最大、最快的研究报告提供商 中国价值投资网 wwwww1 HeaderTable _User 5017298 5017400 5017410 国电南瑞 600406.SH HeaderTable _Stock 600406 买入 investrating Change.same 13020400 HeaderTable _Excel 轨交 BT 总包第一单落定, 新的业务支柱形成 投资要点 投资评级买入增持中性减持 ( 维持 ) 武汉地铁集团与中铁建

More information

东方证券报告

东方证券报告 15/10 15/11 15/12 16/01 16/02 16/03 16/04 16/05 16/06 16/07 16/08 16/09 HeaderTable _User 5017336 1195152594 白云山 600332.SH HeaderTable _Stock 600332 买入 investrating Change.same 13022200 HeaderTable _Excel

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材 证券研究报告 行业专题报告 信息技术 电子 推荐 ( 维持 ) 半导体设备和材料的国产化机遇 2016 年 05 月 24 日半导体行业深度专题之五 上证指数 2844 行业规模 占比 % 股票家数 ( 只 ) 164 5.8 总市值 ( 亿元 ) 17929 4.2 流通市值 ( 亿元 ) 12564 3.7 行业指数 % 1m 6m 12m 绝对表现 -6.2-15.9-22.2 相对表现 -3.0

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

Page 2 内容目录 内容目录... 2 图表目录... 3 半导体材料是集成电路产业基石, 至关重要... 4 涉及领域丰富, 用途广泛... 4 材料是半导体产业链上游重要环节... 4 完善的材料体系是半导体产业发展的必然要求... 5 全球半导体向中国转移, 材料面临产业结构性机遇...

Page 2 内容目录 内容目录... 2 图表目录... 3 半导体材料是集成电路产业基石, 至关重要... 4 涉及领域丰富, 用途广泛... 4 材料是半导体产业链上游重要环节... 4 完善的材料体系是半导体产业发展的必然要求... 5 全球半导体向中国转移, 材料面临产业结构性机遇... 行业研究 Page 1 证券研究报告 深度报告 IT 硬件与设备 一年该行业与沪深 300 走势比较 IT 硬件与设备沪深 300 半导体材料行业专题研究 行业专题 超配 ( 维持评级 ) 2016 年 08 月 05 日 1.1 1.0 0.9 0.8 0.7 0.6 A-15 O-15 D-15 F-16 A-16 J-16 相关研究报告 : 行业重大事件快评 : 紫光联合新芯, 加速国家存储器战略落地

More information

铁路产业链相关上市公司 : 高铁产业链主要上市公司估值水平比照分析 ( 数据基准日 ) 证券代码 证券简称 2009PE E2010PE E2011PE PB 收盘价 ( 元 ) SH 中国南车 S

铁路产业链相关上市公司 : 高铁产业链主要上市公司估值水平比照分析 ( 数据基准日 ) 证券代码 证券简称 2009PE E2010PE E2011PE PB 收盘价 ( 元 ) SH 中国南车 S 简评 行业 证券研究报告 有关分析师的申明, 见本报告最后部分 其他重要信息披露见分析师申明之后部分, 或请与您的投资代表联系 并请阅读本证券研究报告最后一页的免责申明 机械行业 确定性成长是金 ; 国际化扩张是银 行业评级看好中性看淡 ( 维持 ) 国家 / 地区 中国 /A 股 报告发布日期 2011 年 01 月 19 日星期三 新闻事件 : 周凤武 联系人 机械行业首席分析师执业证书编号 :S0860200010048

More information

中国最大、最快的研究报告提供商 中国价值投资网

中国最大、最快的研究报告提供商 中国价值投资网 13/07 13/08 13/09 13/10 13/11 13/12 14/01 14/02 14/03 14/04 14/05 14/06 wwwww1 HeaderTable_User 5017399 810267007 5017338 HeaderTable_Industry 13020900 看好 investratingchange.sa me 173833639 互联网与传媒行业 www.jztz

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 18/04 HeaderTable _User 新湖中宝 SH HeaderTable _

17/05 17/06 17/07 17/08 17/09 17/10 17/11 17/12 18/01 18/02 18/03 18/04 HeaderTable _User 新湖中宝 SH HeaderTable _ 17/5 17/6 17/7 17/8 17/9 17/1 17/11 17/12 18/1 18/2 18/3 18/4 HeaderTable _User 847289893 135327125 176128795 新湖中宝 628.SH HeaderTable _Stock 628 买入 investrating Change.same 1326 HeaderTable _Excel 投资收益大幅增长,

More information

行业报告

行业报告 HeaderTable_User 15/04 15/05 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 5017307 1200150010 渐飞研究报告 - http://bg.panlv.net HeaderTable_Industry 13023100 看好 investratingchange.sa me 460887479

More information

正文目录 1. 集成电路国产化崛起, 半导体材料迎来投资黄金期 国家设计集成电路产业总路线图, 产业基金推动海外并购潮 紫光集团 + 武汉新芯挑起 Memory 大梁, 填补国内空白 紫光 + 武汉新芯巨额投资 Memory... 5

正文目录 1. 集成电路国产化崛起, 半导体材料迎来投资黄金期 国家设计集成电路产业总路线图, 产业基金推动海外并购潮 紫光集团 + 武汉新芯挑起 Memory 大梁, 填补国内空白 紫光 + 武汉新芯巨额投资 Memory... 5 专题 半导体材料行业 报告日期 :2016 年 6 月 30 日 集成电路产业崛起, 半导体材料迎来投资黄金期 半导体材料深度报告 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80106039 :sunfangfang@stocke.com.cn 随着国内集成电路产业崛起, 半导体材料迎来投资黄金期, 我们梳理了国内 A 股半导体材料相关公司

More information

目 录 第一章 总论... 2 第二章 市场分析... 3 第三章 技术来源和产品方案... 9 第四章 建设条件 第五章 风险因素分析与对策 第六章 投资估算和资金筹措 第七章 经济效益分析 第八章 社会效益分析

目 录 第一章 总论... 2 第二章 市场分析... 3 第三章 技术来源和产品方案... 9 第四章 建设条件 第五章 风险因素分析与对策 第六章 投资估算和资金筹措 第七章 经济效益分析 第八章 社会效益分析 集成电路制造用 300mm 硅片技术研发与产业化 项目可行性研究报告 上海新阳半导体材料股份有限公司 二〇一四年八月 目 录 第一章 总论... 2 第二章 市场分析... 3 第三章 技术来源和产品方案... 9 第四章 建设条件... 11 第五章 风险因素分析与对策... 11 第六章 投资估算和资金筹措... 13 第七章 经济效益分析... 14 第八章 社会效益分析... 15 1 第一章

More information

领域 产品性质 相关标的 大硅片 目前日本信越 SUMCO 等 6 家企业形成寡头垄断格局, 占据全球 90% 以上市场份额 我国主要生产 6 英寸及以下硅片,8 英寸仅有少数厂商生产 300mm 大硅片目前国内基本上无法供应 目前上海新阳参股上海新昇, 预计今年年底建成一期项目 上海新阳 (02

领域 产品性质 相关标的 大硅片 目前日本信越 SUMCO 等 6 家企业形成寡头垄断格局, 占据全球 90% 以上市场份额 我国主要生产 6 英寸及以下硅片,8 英寸仅有少数厂商生产 300mm 大硅片目前国内基本上无法供应 目前上海新阳参股上海新昇, 预计今年年底建成一期项目 上海新阳 (02 行业研究 证券研究报告 半导体材料 2016 年 08 月 29 日 报告 行业深度研究 半导体材料 半导体应用升级 + 国产化, 电子化学品将迎来爆发期 行业评级推荐 评级变动首次推荐 主要观点 证券分析师 1. 半导体产业向国内转移趋势明显, 重磅政策支持保证国产化率提升 半导体行业业绩虽然暂无兑现, 但是产业向国内转移趋势 ( 台积电南京 建厂等 ) 明确, 各级政府重磅支持政策持续推出, 我国半导体行业处于

More information

公司报告 新股报告 安集科技 (688019) 破浪而行, 成就国内行业领先者 行业 发行价格 合理估值 证券研究报告 2019 年 07 月 05 日计算机 / 计算机设备元元 1. 安集科技 : 一家集研发 生产 销售为一体的高新技术企业 主营业务为关键半导体材料的研发和产业化 公司产品包括不同

公司报告 新股报告 安集科技 (688019) 破浪而行, 成就国内行业领先者 行业 发行价格 合理估值 证券研究报告 2019 年 07 月 05 日计算机 / 计算机设备元元 1. 安集科技 : 一家集研发 生产 销售为一体的高新技术企业 主营业务为关键半导体材料的研发和产业化 公司产品包括不同 安集科技 (688019) 破浪而行, 成就国内行业领先者 行业 发行价格 合理估值 证券研究报告 2019 年 07 月 05 日计算机 / 计算机设备元元 1. 安集科技 : 一家集研发 生产 销售为一体的高新技术企业 主营业务为关键半导体材料的研发和产业化 公司产品包括不同系列的化学机械抛光液和光刻胶去除剂, 主要应用于集成电路制造和先进封装领域 2018 年公司营收 2.48 亿元, 净利润

More information

<4D F736F F D20BBFDBCABD0C5BAC5A3BAB9A9D3A6C1BFD4F6BCD3A3ACBFE2B4E6C8A5BBAFCBD9B6C8BCF5BBBAA3A E392E31392D392E3235A3A92E646F63>

<4D F736F F D20BBFDBCABD0C5BAC5A3BAB9A9D3A6C1BFD4F6BCD3A3ACBFE2B4E6C8A5BBAFCBD9B6C8BCF5BBBAA3A E392E31392D392E3235A3A92E646F63> 定期报告 行业 研究报告资代表联系 并请阅读报告最后一页的免责申明 房地产行业 积极信号 : 供应量增加, 库存减缓 主要城市销售数据点评 (21.9.19~9.25) 销售市场 成交增速回落, 供应量增加, 库存减缓 新房成交增速有所回落 新房方面 : 本我们跟踪的 15 个城市整体的成交数和面积较上分别上升 1% 和 2%, 增速较前几有所回落 一线城市中, 北京商品住宅 ( 剔除保障房 ) 成交数和面积较上分别下降

More information

行业报告

行业报告 HeaderTable_User 13/6 13/7 13/8 13/9 13/1 13/11 13/12 14/1 14/2 14/3 14/4 14/5 517374 42317883 HeaderTable_Industry 13219 看 好 investratingchange.sa me 17383387 农 业 行 业 种 业 并 购 提 速, 看 好 隆 平 与 奥 瑞 金 联 姻

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成

图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成 文 / 兴泰资本杨淼 刘杨 一 集成电路产业概述集成电路也称为集成块 芯片, 在我国港台地区称为积体电路, 它的英文全称是 Integrated Circuit, 缩写为 IC, 是半导体行业的重要组成部分,2017 年全球份额占比高达 83% 集成电路是将晶体管 二极管等有源元件和电阻器 电容器等无源元件, 采用一定的工艺, 按照一定的电路互联, 集成在一块半导体单晶片上, 后封装在一个管壳内,

More information

Gfh

Gfh 浙商晨报 浙商晨报 2016 年 7 月 1 日 报告日期 :2016 年 7 月 1 日 : 谢伊雯执业证书编号 :S1230512050001 :021-64718888-1241 :xieyiwen@stocke.com.cn 今日要点 浙商电子材料孙芳芳 集成电路差虐崛起, 半导体材料迎来投资黄金期 随着国内集成电路产业崛起, 半导体材料迎来投资黄金期, 全球半导体 材料市场规模达到 434

More information

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排 机械原理包装工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理包装工程 2016 上课 16 4 9-12 A-202 汪成龙 机械原理 2015 上课 16 4 9-12 A-202 汪成龙 机械原理机械电子工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理 机械原理 机械原理 机械原理 电路原理 电路原理 电路原理 2015 上课 16 4 9-12 A-202

More information

<4D F736F F D B9E3D6DDD2A9D2B B0EBC4EAB1A8B5E3C6C02E646F63>

<4D F736F F D B9E3D6DDD2A9D2B B0EBC4EAB1A8B5E3C6C02E646F63> 中报点评公司 研究报告 广州药业 600332.SH 清热解毒类产品快速增长 公司 2010 年上半年扣非后归属于母公司所有者净利润同比增长为 50.65% 公司扣非后 EPS 为 0.19 元, 基本符合预期 公司 2010 年上半年实现业务收入 23.22 亿元, 同比增长 19.4%, 实现主营业务利润 6.35 亿元, 同比增长 30.6%, 实现营业利润 1.88 亿元, 同比增长 62.0%,

More information

<4D F736F F D20D6D0B9FAC1AACDA8B6AFCCACB8FAD7D9A1AAA1AA F6E65BACFD4BCBCDBB8F1B5F7D5FBA3ACBBD6B8B CCD7B2CDCAC7C1C1B5E3>

<4D F736F F D20D6D0B9FAC1AACDA8B6AFCCACB8FAD7D9A1AAA1AA F6E65BACFD4BCBCDBB8F1B5F7D5FBA3ACBBD6B8B CCD7B2CDCAC7C1C1B5E3> 动态跟踪 公司 证券研究报告 资代表联系 并请阅读本证券研究报告最后一页的免责申明 中国联通 600050.SH iphone 合约价格调整, 恢复 286 套餐是亮点 事件 : 中国联通今天宣布将调整 iphone 裸机及合约计划售价, 调整后的 iphone 合约计划于 2011 年 10 月 16 日起执行 主要变化包括 : 裸机销售 :iphone3gs 8GB:3999 元降至 2888

More information

申万期权品种策略日报 上证 50ETF 期权 2019/4/19 星期五 申银万国期货研究所吴广奇 ( 从业资格号 :F ; 投资咨询号 :Z ) 一 标的行情 收盘价 涨跌幅 成交量成交额 ( 亿 30 日历史

申万期权品种策略日报 上证 50ETF 期权 2019/4/19 星期五 申银万国期货研究所吴广奇 ( 从业资格号 :F ; 投资咨询号 :Z ) 一 标的行情 收盘价 涨跌幅 成交量成交额 ( 亿 30 日历史 申万期权品种策略日报 上证 50ETF 期权 2019/4/19 星期五 申银万国期货研究所吴广奇 ( 从业资格号 :F3048078; 投资咨询号 :Z0014024) wugq@sywgqh.com.cn 021-58308720 成交量成交额 ( 亿 30 日历史 60 日历史 90 日历史波 ( 亿 ) 元 ) 波动率波动率动率 上证 50 3004.92-0.41% 39.67 500.09

More information

申万期权品种策略日报 上证 50ETF 期权 2019/5/8 星期三 申银万国期货研究所吴广奇 ( 从业资格号 :F ; 投资咨询号 :Z ) 一 标的行情 收盘价 涨跌幅 成交量成交额 ( 亿 30 日历史

申万期权品种策略日报 上证 50ETF 期权 2019/5/8 星期三 申银万国期货研究所吴广奇 ( 从业资格号 :F ; 投资咨询号 :Z ) 一 标的行情 收盘价 涨跌幅 成交量成交额 ( 亿 30 日历史 申万期权品种策略日报 上证 50ETF 期权 2019/5/8 星期三 申银万国期货研究所吴广奇 ( 从业资格号 :F3048078; 投资咨询号 :Z0014024) wugq@sywgqh.com.cn 021-58308720 成交量成交额 ( 亿 30 日历史 60 日历史 90 日历史波 ( 亿 ) 元 ) 波动率波动率动率 上证 50 2805.04-4.76% 62.44 938.17

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 一张图看懂光刻胶 新材料在线 2015 年 11 月 基本简介 01 光刻胶简介 什么是光刻胶? 由感光树脂 增感剂和溶剂三种主要成份组成的对光敏感的混合液体 在紫外光 深紫外光 电子束 离子束 X 射线等光照或辐射下, 其溶解度发生变化, 经适当的溶剂处理, 溶去可溶性部分, 最终得到所需图像 什么是光刻胶专用化学品? 指生产光刻胶使用的化学原料, 包括光引发剂 ( 包括光增感剂 光致产酸剂 )

More information

国产半导体设备领跑者, 进口替代空间广阔 北方华创 ( SZ) 核心观点 国产半导体设备领跑者, 在手订单充足 北方华创是国产半导体设备制造商领跑者, 现拥有半导体装备 真空装备 新 能源锂电装备及精密电子元器件四个板块业务 2019H1 公司实现营业收入 亿元, 同比上升

国产半导体设备领跑者, 进口替代空间广阔 北方华创 ( SZ) 核心观点 国产半导体设备领跑者, 在手订单充足 北方华创是国产半导体设备制造商领跑者, 现拥有半导体装备 真空装备 新 能源锂电装备及精密电子元器件四个板块业务 2019H1 公司实现营业收入 亿元, 同比上升 国产半导体设备领跑者, 进口替代空间广阔 北方华创 (002371.SZ) 核心观点 国产半导体设备领跑者, 在手订单充足 北方华创是国产半导体设备制造商领跑者, 现拥有半导体装备 真空装备 新 能源锂电装备及精密电子元器件四个板块业务 2019H1 公司实现营业收入 16.55 亿元, 同比上升 18.63%; 归属于上市公司股东的净利润 1.29 亿元, 同 比上升 8.03% 2019H1 公司存货

More information

公司研究 上海新阳 (300236)2 1. 上海新阳 国内半导体材料的领头羊 上海新阳半导体材料股份有限公司是一家专业从事半导体行业所需电子化学品的研发 生产和销售服务, 同时开发配套的专用设备, 致力于为客户提供化学材料 配套设备 应用工艺 现场服务一体化的整体解决方案的企业 图 1 公司理念营

公司研究 上海新阳 (300236)2 1. 上海新阳 国内半导体材料的领头羊 上海新阳半导体材料股份有限公司是一家专业从事半导体行业所需电子化学品的研发 生产和销售服务, 同时开发配套的专用设备, 致力于为客户提供化学材料 配套设备 应用工艺 现场服务一体化的整体解决方案的企业 图 1 公司理念营 [Table_MainInfo] 公司研究 / 化工 / 基础化工材料制品 上海新阳 (300236) 公司跟踪报告 证券研究报告 2016 年 11 月 23 日 [Table_InvestInfo] 投资评级买入维持 股票数据 6 [Table_StockInfo] 个月内目标价 ( 元 ) 51.84 11 月 23 日收盘价 ( 元 ) 44.18 52 周股价波动 ( 元 ) 22.00-57.33

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information

东方证券报告

东方证券报告 13/02 13/03 13/04 13/05 13/06 13/07 13/08 13/09 13/10 13/11 13/12 14/01 HeaderTable _User 5017399 HeaderTable _Stock 600880 买入 investrating Change.same 13020900 HeaderTable _Excel 博瑞传播 600880.SH 业绩略低于预期,14

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段...

东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段... 行业研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 半导体制造兴起的三大投资机遇 电子行业深度报告 投资摘要 : 下半年景气度远优于上半年 晶圆制造代工厂 半导体设备厂商 封测厂商 终端系统应用厂商的情况一致印证半导体行业进入景气向上周期 台积电产能满载, 产能目前已排至 9 月份, 国际三大半导体设备厂商订单 销售数据大幅增长, 预示着晶圆制造厂进入扩产周期 联发科芯片出现全线缺货状态,

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

近年来财务数据 图表 1: 公司近年来营业总收入变化 元祖股份 (603886)2018 年中报点评 图表 2: 公司近年来归母净利润变化 图表 3: 公司近年来毛利率与净利率变化 图表 4: 公司近年来三项费用率变化 图表 5: 公司近年来应收账款周转率相关变化 图表 6: 公司近年来存货周转率相

近年来财务数据 图表 1: 公司近年来营业总收入变化 元祖股份 (603886)2018 年中报点评 图表 2: 公司近年来归母净利润变化 图表 3: 公司近年来毛利率与净利率变化 图表 4: 公司近年来三项费用率变化 图表 5: 公司近年来应收账款周转率相关变化 图表 6: 公司近年来存货周转率相 / / 公司研究 食品饮料 元祖股份 (603886)2018 年中报点评 募投项目助力发展, 双节业绩值得期待 2018 年 08 月 30 日 挖掘价值投资成长 增持 ( 维持 ) 证券研究报告 投资要点 公司发布 2018 年半年度报告, 报告期内公司实现营业总收入 7.17 亿元,YOY+8.56%; 实现归属上市公司股东的净利润 2105.31 万元, YOY+513.76%; 实现归属于上市公司股东的扣非后净利润

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

目录 晶圆为何涨价? Q4 起晶圆每季上涨 1%, 硅片厂股价翻番... 1 需求拉动是主因,12 英寸 NAND 及 8 英寸市场为核心驱动力... 2 晶圆涨价能否持续?... 7 存储技术变革推升需求, 三星 Capex 领跑拉动中短期需求... 7 大陆产业布局加速, 中长期需

目录 晶圆为何涨价? Q4 起晶圆每季上涨 1%, 硅片厂股价翻番... 1 需求拉动是主因,12 英寸 NAND 及 8 英寸市场为核心驱动力... 2 晶圆涨价能否持续?... 7 存储技术变革推升需求, 三星 Capex 领跑拉动中短期需求... 7 大陆产业布局加速, 中长期需 证券研究报告 电子行业半导体行业系列报告 晶圆涨价影响几何? / 行业研究 / 电子行业 217 年 1 月 17 日 投资要点 : 晶圆为何涨价? 需求拉动是主因 自 216Q4 开始, 晶圆价格持续上涨, 12 寸晶圆价格每季上涨 1% 左右,8 寸硅晶圆平均每季上涨 5-1% 晶圆价格上涨主要受半导体市场需求景气拉动, 据 SIA 数据,217 年 7 月全球半导体销售额 336 亿美元, 同比

More information

况伟大 本文在住房存量调整模型基础上 考察了预期和投机对房价影响 理性预 期模型表明 理性预期房价越高 投机越盛 房价波动越大 适应性预期模型表明 当消费 性需求占主导时 上期房价越高 房价波动越小 当投机性需求占主导时 上期房价越高 房价波动越大 本文对中国 个大中城市 年数据的实证结果表明 预期及 其投机对中国城市房价波动都具有较强的解释力 研究发现 经济基本面对房价波动影 响大于预期和投机 但这并不意味着个别城市房价变动不是由预期和投机决定的

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 关于大硅片的研究报告 出品人 : 大硅片产业 01 产品基础介绍 02 主流类别及制备方法 03 全球硅片市场综合分析 04 国内相关公司及投资机会分析 01 产品基础介绍 产品基础介绍 定义 : 硅片又称硅晶圆片, 是制作半导体 集成电路的重要材料, 通过对硅片进行光刻 离子注入等手段, 可以制成集成电路和各种半导体器件 单晶硅 : 是硅的单晶体, 是一种比较活泼的非金属元素, 具有基本完整的点阵结构

More information

2 目录 投资要点 全球半导体硅片产业现状 全球半导体硅片产业发展情况 全球半导体硅片产业竞争格局 巨头垄断 未来几年, 全球需要多少半导体硅片? 目前全球 IC 晶圆代工厂产能情况 未

2 目录 投资要点 全球半导体硅片产业现状 全球半导体硅片产业发展情况 全球半导体硅片产业竞争格局 巨头垄断 未来几年, 全球需要多少半导体硅片? 目前全球 IC 晶圆代工厂产能情况 未 [Table_MainInfo] 行业研究 / 信息设备 / 电子元器件 行业深度报告 证券研究报告 2017 年 1 月 4 日 [Table_InvestInfo] 投资评级增持维持 市场表现 [Table_QuoteInfo] 6959.18 6031.95 电子元器件 海通综指 全球半导体硅片产业深度研究 : 供需关系进入新周期 [Table_Summary] 投资要点 : 5104.72

More information