东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段...

Size: px
Start display at page:

Download "东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段..."

Transcription

1 行业研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 半导体制造兴起的三大投资机遇 电子行业深度报告 投资摘要 : 下半年景气度远优于上半年 晶圆制造代工厂 半导体设备厂商 封测厂商 终端系统应用厂商的情况一致印证半导体行业进入景气向上周期 台积电产能满载, 产能目前已排至 9 月份, 国际三大半导体设备厂商订单 销售数据大幅增长, 预示着晶圆制造厂进入扩产周期 联发科芯片出现全线缺货状态, 手机换机周期刺激下芯片出货量大增 在国家及产业资本推动下, 国内半导体晶圆制造兴起是未来三年半导体产业最为确定的变化 半导体制造兴起的进程将带动相应的配套产业快速发展, 我们看好半导体设备 存储封测 特色制造工艺三个细分领域的投资机会 国内半导体设备企业迎来历史最佳发展期 国内十余座晶圆厂今年上半年开工建设, 将带动总金额 2100~3500 亿元的半导体设备采购 ; 而 2015 年国内半导体设备总销售金额仅仅为 325 亿元, 未来 3 年市场规模将成倍增长 半导体设备国产化率提升是国家战略推动下的必然趋势, 中国制造 2025 技术路线图提出了 50% 以上国产化率的目标, 目前国产化率不足 15%, 国产化率提升空间巨大 国内存储产业崛起, 存储封测厂商将相伴成长 2016 年是 3D 存储大发展的元年, 紫光 武汉新芯合并成立的长江存储手握 3600 亿资本开支计划, 是海外存储厂商的理想合作伙伴 存储制造企业外包封测业务成为趋势, 因此随着国内存储芯片制造企业的崛起, 与之紧密合作的封测企业将进入快速发展期 特色工艺将为我国芯片制造产业开辟一条差异化竞争之路 在今年半导体年会上, 国家大基金负责人明确提出芯片制造产业是今后一段时间投资重点方向, 国家大基金 60% 的资金将投向芯片制造领域, 将在先进 CMOS 制程工艺与特色工艺领域努力实现国产突破 GaN/GaAs 射频工艺应用迎来 5G 通讯需求爆发的历史机遇, 国内市场 + 国外技术 合作模式突破, 国产替代有望实现突破 风险提示 : 半导体行业国产替代进程不及预期的风险 行业重点公司盈利预测与评级 简称 EPS( 元 ) PE PB 评级 15A 16E 17E 15A 16E 17E 七星电子 强烈推荐 上海新阳 强烈推荐 深科技 强烈推荐 三安光电 强烈推荐 资料来源 : 公司财报 东兴证券研究所 分析师 : 杨若木 2016 年 09 月 02 日看好 / 维持电子深度报告 y angrm@dxzq.net.cn 执业证书编号 : 联系人 : 贺茂飞 S 联系人 : 余江 y ujiang@dxzq.net.cn 细分行业评级动态 半导体看好维持 汽车电子看好维持 安防看好维持 行业基本资料占比 % 股票家数 % 重点公司家数 - - 行业市值 亿元 4.43% 流通市值 亿元 4.36% 行业平均市盈率 / 市场平均市盈率 / 行业指数走势图 资料来源 : 东兴证券研究所 相关研究报告 1 NB-IoT 标准获 3GPP 通过, 标准化拉开物联网产业爆发序幕 东兴电子行业专题报告 :OLED 掀起显示技术革命浪潮 电子元器件行业周报 : 继三星之后,iPhone 8 或将采用虹膜识别

2 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段 台积电 Q2 产能利用率显著提高至 97.51% 上游设备厂商订单大幅增长, 行业景气度明显回升 手机套片出现缺货印证景气向上 国家集成电路产业发展推进纲要 部署产业发展目标 半导体制造兴起带来三大机会 芯片制造进入建厂高峰, 设备行业迎来历史性机遇 芯片制造产业基础薄弱, 急需提升实力 我国掀起晶圆厂建设高峰, 设备年均资本支出将增长 115% 设备国产化率不足 15%, 中国制造 2025 要求国产化率达到 50% 设备国产替代进行中 : 关键设备已进入 28nm 工艺生产线 国内存储晶圆制造崛起, 存储封测企业伴生成长 存储芯片几乎完全依赖进口, 国产化需求迫切 存储芯片产业处于 2D 向 3D 技术转换期, 我国迎来切入存储产业良机 韩国经验 : 重视先进技术吸收 + 持续资本投入是成功关键 存储产业大发展, 封测企业伴生成长 特色工艺开辟芯片制造差异化之路, 关注氮化镓工艺 特色工艺资本支出相对较小, 或将更快实现突破 砷化镓工艺已经是 4G 射频器件主流工艺 占尽天时地利, 砷化镓 / 氮化镓工艺国产化进行中 投资策略及相关公司解析 七星电子 ( SZ) 上海新阳 ( SZ) 深科技 ( SZ) 三安光电 ( SH)...22

3 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P3 表格目录 表 1: 国家集成电路产业发展推进纲要 的产业发展目标... 8 表 2: 国家集成电路产业发展推进纲要 的主要任务和发展重点... 9 表 3: 全球前十大晶圆厂营收情况及市占率 (2015 年 )... 9 表 4: 未来 3 年, 大陆地区半导体晶圆制造厂开工建设计划...10 表 5: 中国制造 2025 技术路线图 设定了集成电路产业设备国产化的目标 表 6: 我国前十大半导体设备厂商销售收入 (2015 年 ) 表 7: 我国多款设备进入 28 纳米晶圆制造生产线...12 表 8:NAND FLASH 主要厂商均已实现 3D NAND 量产...14 表 9: 存储芯片制造厂商掀起外包封测业务浪潮...16 表 10: 全球主要独立存储封测企业概况...16 表 11:MMIC 器件广泛应用于各类通讯系统 ( 砷化镓 / 氮化镓工艺 )...17 表 12: 各类半导体材料的特点及应用范围...18 插图目录 图 1: 集成电路产业链分为设计 制造 封测 设备材料四大环节... 4 图 2: 半导体各子行业固定资产比例... 5 图 3: 半导体各子行业毛利率 净利率对比... 5 图 4: 全球半导体市场规模近 3500 亿美金, 销售额同比增速呈现出周期变化特征... 5 图 5: 全球半导体资本支出情况... 6 图 6: 台积电 2016 年 2 季度出货量止跌回升 ( 万片 )... 7 图 7: 台积电 2016 年 2 季度产能利用率创近 7 个季度新高... 7 图 8: 北美半导体 BB 值自 2015 年 12 月起连续 7 个月超过荣枯线... 8 图 9: 集成电路前道工艺主要设备及相应供应商...12 图 10:2016 年 Q1 市场格局 (NAND FLASH)...13 图 11:2016 年 Q1 市场格局 (DRAM)...13 图 12: 3D NAND 技术突破了摩尔定律限制, 使存储容量成倍增长...14 图 13: 国内资金 + 海外技术 模式引领国内存储芯片突围...15 图 14: 特色工艺分类...17 图 15: GaS( 砷化镓 ) GaN( 氮化镓 ) 等化合物半导体器件对应的应用频率及功率范围...18 图 16: 全球砷化镓半导体市场格局...18 图 17: 全球砷化镓半导体 Foundry 市场格局...18 图 18: 中国制造 2025 技术路线图 对化合物半导体行业设定的目标...19 图 19: 单部手机射频芯片成本 ( 美金 )...20

4 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P4 1 半导体行业处于景气向上周期 1.1 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业包含集成电路 半导体分立器件 半导体设备材料等细分领域, 其中集成电路子行业按照专业分工细分为设计 制造 封测三个细分业务 单个半导体公司包揽上述三个细分业务的模式称为 IDM 模式, 而上述三个细分业务由三家不同公司完成的模式称为 Fabless+Foundry 模式 图 1: 集成电路产业链分为设计 制造 封测 设备材料四大环节 EDA 软件 设备 材料 设计制造封测 终端应用 产出程序代码 GDSII 代码 资料来源 : 东兴证券研究所 1.2 半导体行业周期性减弱, 进入平稳增长期 集成电路设计是轻资产业务, 应用创新驱动行业成长 集成电路设计公司一般具备固定资产比例低, 无形资产比例高的特点 行业景气度基本不受半导体晶圆制造资本支出周期变化的影响, 而与智能手机 PC 工业控制 无线通讯等应用领域的成长息息相关 制造 封测等环节具有重资产属性, 行业景气度与资本支出 整体产能强相关 在行业景气上行时, 半导体制造厂商产能供不应求, 纷纷扩建新的晶圆厂 扩产项目的建设期一般为 1-2 年, 新建产能释放后, 行业出现产能供过于求, 行业景气下行 半导体制造 封装行业固定资产比重高, 比如半导体制造龙头企业台积电的固定资产比例达到 51%, 封测龙头企业日月光的固定资产比例高达 41% 因此产能利用率直接影响制造 封测企业业绩

5 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P5 图 2: 半导体各子行业固定资产比例 图 3: 半导体各子行业毛利率 净利率对比 资料来源 : 公司公告, 东兴证券研究所 资料来源 : 公司公告, 东兴证券研究所 行业销售增速波动范围由正负 30% 缩小至正负 10% 回顾 年半导体产业, 在 2010 年前, 半导体行业表现出了明显的周期性特征, 全球半导体销售同比增速在 -30% 与 30% 之间波动变化 第一个周期是 年, 先后经历了 2 年的衰退期 4 年的复苏期 在衰退期 中,2001 年全行业销售金额下滑了 32%, 而在复苏期,2004 年行业销售收入增长 速度达到了 28% 第二个周期是 2006 年至 2010 年, 先后经历了 2 年的衰退期 2 年的复苏期 在衰 退期,2009 年全行业销售收入下滑了 9%, 而在复苏期 2010 年, 全行业销售收入 增长了 32% 2010 年以后, 半导体行业整体上进入平稳增长期 图 4: 全球半导体市场规模近 3500 亿美金, 销售额同比增速呈现出周期变化特征 % 30% 20% 10% 0% -10% -20% -30% -40% 全球半导体销售收入 销售增速 (YoY) 资料来源 :WSTS, 东兴证券研究所

6 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P6 图 5: 全球半导体资本支出情况 半导体行业周期性减弱最直接的原因就是行业趋于巨头垄断格局, 资本支出计划趋于理性 如下图所示,2010 年以前呈现出的资本支出暴涨暴跌现象消失不见了 年后, 晶圆制造行业趋于寡头垄断格局, 半导体行业投资的周期性减弱 全球半导体资本支出金额全球半导体资本支出同比增速 (%, 右 ) 200% 150% 100% 50% 0% -50% -100% 资料来源 : 东兴证券研究所,wind 1.3 半导体从 16Q2 开始进入复苏阶段 台积电 Q2 产能利用率显著提高至 97.51% 晶圆代工厂的产能利用率 库存指标是半导体行业景气度最直接 最全面的衡量指标 台积电是全球晶圆制造代工的龙头企业,2015 年销售收入占全球晶圆代工市场的 54.3% 从台积电的情况来看, 半导体行业从今年二季度开始进入复苏阶段 台积电产能利用率从今年一季度的 87.28% 上升至 97.51%, 这是从 2015 年初开始 出现营收下滑以来, 台积电产能利用率首次出现大幅回升情况, 单季营收创历史第 三高 二季度, 台积电消费电子芯片销售金额环比增长 80%,PC 应用芯片销售金额环比 增长 19%, 工业应用芯片销售金额环比增长 12%, 除通讯应用芯片环比 0% 增长以 外, 其他领域均呈现大幅增长态势 7 月 14 日, 台积电召开法说会披露, 产能已排至今年 9 月, 产能处于满载状态 同时台积电预计三季度营收将实现双位数以上增长

7 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P7 图 6: 台积电 2016 年 2 季度出货量止跌回升 ( 万片 ) 资料来源 : 东兴证券研究所, 公司公告 图 7: 台积电 2016 年 2 季度产能利用率创近 7 个季度新高 二季度产能利用率达到 97.51%, 公司预期三季度 产能满载 资料来源 : 东兴证券研究所, 公司公告 上游设备厂商订单大幅增长, 行业景气度明显回升 以半导体设备三大巨头 :Applied Materials TEL Lam Research 的订单及业绩情况来看, 半导体行业的短期复苏是确定的 5 月 19 日, 美国 Applied Materials 二季度新接订单达到 34.5 亿美金, 同比增长 37%, 环比增长 52% 包括半导体行业 平板显示行业 新能源行业在内的各个子 行业设备新增订单均呈现高增长, 其中半导体行业设备新增订单 19.6 亿元, 环比 增长 54%, 同比增长 15% 来自中国大陆地区的单季度订单金额达到 9.03 亿美金, 环比增长 80%, 同比增长 157% 7 月 29 日, 日本 TEL 公告季度报告 (2016 年 4 月 -6 月 ), 二季度新增订单 19.3 亿美金, 同比增长 26%, 环比增长 5%, 与美国 Applied Materials 的订单高增长 趋势一致印证了半导体行业进入景气周期 订单增长主要来自晶圆代工 Foundry 先进制程扩产及 3D NAND 建厂需求 二季度 TEL 半导体设备销售收入略微下滑 6.8% 达到 12.8 亿美金, 但是来自中国大陆地区的设备销售收入达到 2.95 亿美金,

8 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P8 环比增长 97.3%, 同比增长 114%, 大陆地区的设备销售收入增速远远高于其他地 区 7 月 27 日,Lam Research 的季报显示其二季度营业收入达到 15.4 亿美金, 同比增长 18%,non-GAAP 毛利润达到 7.2 亿美金, 对应 46.6% 的 non-gaap 毛利率 公司管理层给出的三季度业绩指引为实现营收 亿美金, 相比二季度增长 5.5% 图 8: 北美半导体 BB 值自 2015 年 12 月起连续 7 个月超过荣枯线 资料来源 : 东兴证券研究所,wind 手机套片出现缺货印证景气向上 今年上半年, 三四线城市进入换机大潮, 以线下渠道优势著称的 Oppo 手机出货量跃升至全球第四, 仅次于三星 苹果 华为 受益于 Oppo Vivo 等厂商对 P10 X20 X25 芯片积极备货影响, 联发科上半年实现了 20% 以上的出货量增长, 远超预期, 以致于其高 低端全系列手机芯片在 7 月均出现缺货状态 台湾封测领军企业日月光在 6 月 28 日的股东会披露, 目前产能吃紧, 下半年状况将比上半年更好 1.4 国家集成电路产业发展推进纲要 部署产业发展目标 2014 年 6 月, 国务院印发 国家集成电路产业发展推进纲要, 部署集成电路产业发展目标及主要任务 表 1: 国家集成电路产业发展推进纲要 的产业发展目标 发展目标 到 2015 年, 集成电路产业发展体制机制创新取得明显成效, 建立与产业发展规律相适应的融资平台和政策环境 集 1 成电路产业销售收入超过 3500 亿元 移动智能终端 网络通信等部分重点领域集成电路设计技术接近国际一流水平 32/28 纳米 (nm) 制造工艺实现规模量产, 中高端封装测试销售收入占封装测试业总收入比例达到 30% 以上,65-45nm 关键设备和 12 英寸硅片等关键材料在生产线上得到应用 到 2020 年, 集成电路产业与国际先进水平的差距逐步缩小, 全行业销售收入年均增速超过 20%, 企业可持续发展能 2 力大幅增强 移动智能终端 网络通信 云计算 物联网 大数据等重点领域集成电路设计技术达到国际领先水平, 产业生态体系初步形成 16/14nm 制造工艺实现规模量产, 封装测试技术达到国际领先水平, 关键装备和材料进入 国际采购体系, 基本建成技术先进 安全可靠的集成电路产业体系

9 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P9 3 到 2030 年, 集成电路产业链主要环节达到国际先进水平, 一批企业进入国际第一梯队, 实现跨越发展 资料来源 : 东兴证券研究所 国务院表 2: 国家集成电路产业发展推进纲要 的主要任务和发展重点主要任务和发展重点 设计制造封测设备材料 (1) 聚焦移动智能终端和网络通信领域, 开发量大面广的移动智能终端芯片 数字电视芯片 网络通信芯片 智能穿戴设备芯片及操作系统, 提升信息技术产业整体竞争力 (2) 发挥市场机制作用, 引导和推动集成电路设计企业兼并重组 (3) 加快云计算 物联网 大数据等新兴领域核心技术研发, 开发基于新业态 新应用的信息处理 传感器 新型存储等关键芯片及云操作系统等基础软件, 抢占未来产业发展制高点 (4) 分领域 分门类逐步突破智能卡 智能电网 智能交通 卫星导航 工业控制 金融电子 汽车电子 医疗电子等关键集成电路及嵌入式软件, 提高对信息化与工业化深度融合的支撑能力 (1) 加快 45/40nm 芯片产能扩充, 加紧 32/28nm 芯片生产线建设, 迅速形成规模生产能力 (2) 加快立体工艺开发, 推动 22/20nm 16/14nm 芯片生产线建设 (3) 大力发展模拟及数模混合电路 微机电系统 (MEMS) 高压电路 射频电路等特色专用工艺生产线 (4) 增强芯片制造综合能力, 以工艺能力提升带动设计水平提升, 以生产线建设带动关键装备和材料配套发展 (1) 大力推动国内封装测试企业兼并重组, 提高产业集中度 (2) 适应集成电路设计与制造工艺节点的演进升级需求, 开展芯片级封装 (CSP) 圆片级封装(WLP) 硅通孔 (TSV) 三维封装等先进封装和测试技术的开发及产业化 加强集成电路装备 材料与工艺结合, 研发光刻机 刻蚀机 离子注入机等关键设备, 开发光刻胶 大尺寸硅片等关键材料 资料来源 : 东兴证券研究所 国务院 2 半导体制造兴起带来三大机会 2.1 芯片制造进入建厂高峰, 设备行业迎来历史性机遇 芯片制造产业基础薄弱, 急需提升实力 表 3: 全球前十大晶圆厂营收情况及市占率 (2015 年 ) 目前中芯国际的量产工艺为 28nm 工艺, 而台积电最先进的量产工艺为 16nm 工艺, 台联电最先进的量产工艺为 28nm, 中芯国际与台积电工艺相差 2 代 根据国际半导体设备材料产业协会数据, 中芯国际 华虹在 2015 年市场份额分别为 4.6% 1.3%, 远不及台积电 格罗方德 联电, 提升我国芯片制造技术水平及市场份额迫在眉睫 晶圆厂 2015 营收 ( 亿美金 ) 市占率 台积电 % 格罗方德 % 联电 % 三星电子 % 中芯 %

10 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P10 力晶 % TowerJazz % 富士通半导体 % 世界先进 % 华虹半导体 % 合计 % 资料来源 :SEMI, 东兴证券研究所 我国掀起晶圆厂建设高峰, 设备年均资本支出将增长 115% 表 4: 未来 3 年, 大陆地区半导体晶圆制造厂开工建设计划 今年, 我国十余个晶圆厂项目开始进入建设期, 投资总规模达到 亿元 半导体设备支出占晶圆代工厂建设成本约 70%, 土地和厂务设施占约 10~15%, 土建施工费用占 10~15% 因此未来 3 年, 我国半导体行业设备支出将超过 2100~3500 亿元, 保守估计我国半导体设备支出年均超过 700 亿元 根据国际半导体设备材料产业协会 (SEMI) 数据, 我国 2015 年的半导体设备销售金额为 325 亿人民币 未来 3 年我国半导体设备平均支出相比 2015 年将增长 115% 以上, 因此半导体设备企业将确定性受益 序号项目投资金额 ( 亿元 ) 产能 1 紫光深圳 12 寸存储晶圆厂 ( 一期 ) 2000( 一期 300 亿 ) ( 万片 / 月 ) 进展 4( 一期 ) 目前正在进行可行性研究论 证, 预计于 2019 年投产 2 合肥力晶 12 寸晶圆厂 已开工建设,2017 年 10 月 3 台积电南京 12 寸晶圆厂项目 已开工建设,2018 年下半年 4 台联电厦门 12 寸晶圆厂项目 今年 12 月投产 5000 片 / 月 5 武汉新芯存储器基地项目 1, 存储芯片,2019 年达产 6 淮安德科码半导体有限公司 12 英吋项目 进入环评阶段,2018 年下半 投产 投产 年投产 7 南京德科玛半导体产业园项目 (8 寸晶圆厂 ) 已开工建设,2018 年下半年 8 重庆 AOS 12 寸功率半导体制造项目 ( 一期 ) 已开工建设, 功率半导体晶 投产 圆厂,2018 年投产 9 重庆 AOS 12 寸功率半导体制造项目 ( 二期 ) 40 3 已开工建设, 功率半导体晶 圆厂,2018 年投产 10 UMC 与晋华合作的存储芯片项目 ( 泉州 ) 已开工建设, 存储芯片,2018 年 9 月投产 11 中芯国际扩产项目 ( 北京 ) 已开工建设,2018 年投产 12 士兰微集成电路芯片项目 ( 杭州 ) 10 n/a 已开工建设,2017 年上半年

11 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P11 合计为 5302 亿元 投产 资料来源 : 东兴证券研究所, 互联网公开资料 设备国产化率不足 15%, 中国制造 2025 要求国产化率达到 50% 按照销售金额测算, 半导体设备国产化率不足 15% 根据国际半导体设备材料产业协会数据, 我国 2015 年半导体设备销售金额为 325 亿元 而根据中国电子专用装备工业协会数据,2015 年国产半导体设备销售金额约为 50 亿元, 其中国内前十强设备企业销售金额为 亿元, 占国产半导体设备市场的 75.26% 国内半导体设备的销售大部分集中在 LED 芯片制造 太阳能电池等半导体工艺线, 面向集成电路工艺线的半导体设备销售量更小 中国制造 2025 技术路线图部署了设备行业国产化率的产业目标, 我们认为在国家制造强国领导小组的背书下, 国资背景为主的晶圆厂在采购设备时会优先考虑国产厂商 国家制造强国建设战略咨询委员会 是副总理马凯领导的国家制造强国领导小组的智囊团, 其发布的 技术路线图 拥有非常强的权威性 在 中国制造 2025 技术路线图中, 国家制造强国建设战略咨询委员会按照不同工艺线和时间节点分别提出了国产化率要求, 目前设备国产化率离目标仍有较大差距, 未来发展潜力巨大 表 5: 中国制造 2025 技术路线图 设定了集成电路产业设备国产化的目标目标 1 在 2020 年之前,90~32 纳米工艺设备国产化率达到 50%, 实现 90 纳米光刻机国产化, 封测关键设备国产化率达到 50% 2 在 2025 年之前,20~14 纳米工艺设备国产化率达到 30%, 实现浸没式光刻机国产化 3 到 2030 年, 实现 18 英寸工艺设备 EUV 光刻机 封测设备的国产化 资料来源 : 东兴证券研究所, 国家制造强国建设战略咨询委员会 表 6: 我国前十大半导体设备厂商销售收入 (2015 年 ) 单位名称 半导体设备销售收入 ( 万元 ) 中电科电子装备有限公司 92,881 浙江晶盛机电股份有限公司 48,795 中微半导体设备 ( 上海 ) 有限公司 44,995 北京北方微电子基地设备工艺研究中心有限责任公司 37,627 上海微电子装备有限公司 34,703 天通吉成机器技术有限公司 29,267 深圳市捷佳伟创新能源装备股份有限公司 27,409 北京七星华创电子股份有限公司 21,687 盛美半导体设备 ( 上海 ) 有限公司 20,506 格兰达技术 ( 深圳 ) 有限公司 18,471

12 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P12 合计 376,340 来源 : 中国电子专用设备工业协会, 东兴证券 设备国产替代进行中 : 关键设备已进入 28nm 工艺生产线 半导体设备行业的门槛较高, 一般需要在下游制造企业的工艺线上经过长时间的验证, 验证过程中发现问题, 并经过多次改型才能最后定型 而国内的设备厂商主要通过中芯国际 上海华力等国内晶圆厂进行验证定型 随着我国中芯国际 华虹等晶圆制造厂商规模的扩大, 我国半导体设备企业近年来取得不小突破, 目前以七星电子为代表的国产设备已进入 28nm 工艺生产线 部分设备甚至已经进入 14nm 工艺试验线, 如北方微的刻蚀机于今年 8 月份首次进入上海集成电路中心的 14nm 工艺试验线 图 9: 集成电路前道工艺主要设备及相应供应商 CVD 国外 :Applied Materials TEL 国内 : 上海微装 中电 48 所 45 所 成都光机所 扩散 / 氧化炉国外 :Thermco ASM BRUCE 国内 : 七星电子 中电 48 所 青岛福润德 离子注入机国外 : 美国 Applied Materials 美国 CHA 美国维利安 国内 : 中电 48 所 中科信 上海硅拓沈阳方基 PVD 国外 : 美国 Applied Materials 美国 PVD 公司 美国 Vaportech 国内 : 北方微 沈阳拓荆 光刻机 ( 占前道设备成本 20%) 国外 :ASML(14nm) Nikon Canon 国内 : 上海微装 中电 48 所 45 所 成都光机所 刻蚀机国外 :Lam Research TEL Applied Materials 韩国 TES 韩国 JuSung 国内 : 上海微装 北方微 中微半导体 中电 48 所 45 所 成都光机所 检测设备国外 : 美国 KLA-Tencor 美国应用材料 日本日立 日本 Advantest 以色列 Camtek 美国 Rudolph 国内 : 上海睿励科学仪器 瑞柯仪器 资料来源 : 东兴证券研究所, 七星电子招股书, 互联网公开资料 表 7: 我国多款设备进入 28 纳米晶圆制造生产线 设备 品牌 进展 等离子硅刻蚀机 北方微 2015 年 4 月, 北方微电子自主研发的 12 寸 28 纳米 NMC612 系列高密度等离子硅刻蚀机正式安装, 进入中芯国际生产线

13 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P13 PVD 北方微 2016 年 4 月, 北方微电子 evictora830 PVD 设备进入武汉新芯生产线 PVD 北方微 2014 年 11 月, 北方微电子的 12 吋 extin H430 HM PVD 设备在上海华力微电子发起的 招标中实现中标 此设备具备极强的工艺扩展能力, 同时兼容 纳米工艺制程 PECVD/APCVD 北方微适用于不同规格衬底上 N 型 P 型硅材料的外延生长, 同时兼容 6 吋 8 吋衬底 单片清洗机 ( 铜互连清洗 ) 七星 300mm 堆叠式单片清洗机 (90-28nm), 已经完成了设备工艺验证, 及 28nm 设备装配工 作 LPCVD 七星在中芯国际 纳米生产线进行工艺验证, 完成了 MSTR 验证 氧化炉七星 2016 年 5 月, 七星电子 12 吋立式氧化炉顺利交付中芯国际 ( 北京 )28 纳米生产线 资料来源 : 东兴证券研究所, 互联网 2.2 国内存储晶圆制造崛起, 存储封测企业伴生成长 存储芯片几乎完全依赖进口, 国产化需求迫切 全球存储芯片总产值约 800 亿美元, 主要分为 DRAM 和 NAND FLASH 两大市场, DRAM 年产值 457 亿美金, 占存储芯片市场的 57%,NAND FLASH 年产值 306 亿美金, 占存储芯片市场的 38% DRAM 和 NAND FLASH 合计占据 95% 的市场份额, 另外 EEPROM NOR FLASH 等占据 5% 市场份额 DRAM 存储的内容掉电后将丢失, 主要应用于 PC 机内存等场景,NAND FLASH 存储的内容掉电后不会丢失, 用于手机存储 PC 固态硬盘等场景 目前三星 SK 海力士 美光 东芝 sandisk 英特尔等六家公司基本垄断了存储芯片 95% 以上市场份额 目前, 我国每年进口的集成电路芯片的 1/5 是存储器, 存储芯片几乎完全依靠海外供应, 这对我国信息产业安全构成挑战, 因此存储器放在国家战略的高度着重发展 图 10:2016 年 Q1 市场格局 (NAND FLASH) 7% 8% 35% 13% 15% 22% 图 11:2016 年 Q1 市场格局 (DRAM) 4% 2% 1% 1% 19% 46% 27% 三星 SK 海力士美光南亚科技 三星东芝 Sandisk 华邦电子力晶科技其他 美光 Sk 海力士英特尔 资料来源 : 东兴证券研究所,DRAMeXchange 资料来源 : 东兴证券研究所,DRAMeXchange 存储芯片产业处于 2D 向 3D 技术转换期, 我国迎来切入存储产业良机三维存储技术推动新一轮资本开支周期, 中国企业是理想合作伙伴 紫光集团存储业务与武汉新芯合并之后成立了 长江存储, 作为我国最大的存储芯片企业, 长江存储 手握 3600 亿资本开支计划, 是美光等存储芯片厂商的理

14 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P14 想合作伙伴 我国是最大的 DRAM NAND FLASH 销售市场, 我国存储器厂商拥有地利优势 我国 2014 年进口 DRAM 179 亿美元, 占全球 DRAM 总销售额的 38%,NAND 型 闪存进口 68 亿美元, 占全球总销售额的 28.2% 2016 年是 3D NAND 大发展的元年, 六大主流厂商均已推出 3D NAND FLASH 量产产品 未来几年将是 2D NAND 向 3D NAND 发展的转换期, 各家存储芯片厂商 面临着巨额的资本支出压力, 于是积极寻找合作伙伴共同投资减轻压力 表 8:NAND FLASH 主要厂商均已实现 3D NAND 量产公司 3D NAND 产品现状三星 2013 年 8 月,NAND 市场全球第一大厂商三星宣布量产世界首款 3D V-NAND 存储芯片 在 2013 年发布的第一代 V-NAND 产品可实现最多 24 层晶片堆叠, 目前三星已量产 48 层堆叠的 3D NAND 芯片, 并预计于 2017 年下半年量产 64 层堆叠的 3D NAND 芯片 海力士海力士预计于 2017 年上半年量产 48 层堆栈的 3D NAND FLASH 东芝 Sandisk 2015 年 8 月, 东芝联合 SanDisk 发布了 48 层 3D NAND 芯片, 并在日本四日市启动 3D NAND 试产线 东芝于 2016 年 7 月宣布, 已研发出 64 层的 3D NAND FLASH 技术 美光 英特尔 2016 年 8 月, 美光宣布研发出 48 层堆叠的 3D NAND FLASH 资料来源 : 东兴证券研究所, 互联网公开资料 3D NAND 相比 2D NAND 有如下优势 : 功耗更低, 3D V-NAND 功耗相比 2D NAND 降低 45% 以上 速度更快, 读写响应时间缩短 40% 以上 更加稳定,2D NAND 可擦写次数为 次,3D V-NAND 可擦写次数达到 次 图 12: 3D NAND 技术突破了摩尔定律限制, 使存储容量成倍增长 资料来源 : 东兴证券研究所,Samsung 韩国经验 : 重视先进技术吸收 + 持续资本投入是成功关键

15 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P15 在上世纪 80 年代初期, 美国 日本是半导体行业的领导者, 韩国半导体产业几乎是一片空白 但是经过 10 年的发展, 韩国追赶了上来, 成为了存储芯片行业的领导者 到 1994 年, 三星成为了世界排名第一的 DRAM 制造商, 现代 LG 也位居世界前列, 韩国存储芯片产业实现了从零到第一的飞跃 总结韩国半导体产业发展经验, 有以下几项特点 研发经费指数式增长, 在半导体行业不景气阶段依然保持着大规模的研发支出 为避免企业间重复投资, 集中韩国企业 高校资源共同研发 在 1986 年, 政府将 4M DRAM 列为国家项目, 韩国三大半导体厂商三星 LG 现代结盟进行开发 政府提供研发资金支持 为了消除与日本公司的差距, 韩国政府设定了在 1989 年 量产 4M DRAM 的目标, 在 年共花费 1.1 亿美金研发费用, 政府承担了 其中 57% 借鉴韩国经验, 在国家集成电路大基金的牵线搭桥下, 武汉新芯与紫光集团存储业务进行了合并, 成立了国家存储产业平台公司 长江存储, 有利于避免重复投资, 实现各方优势资源共享 由于我国存储芯片产业基础薄弱, 各家存储企业通过引入海外技术合作方的方式实现项目落地 图 13: 国内资金 + 海外技术 模式引领国内存储芯片突围 紫光存储器项目 武汉新芯存储器项目 + 技术合作方 + 拟投资 932 亿元建设存储芯片晶圆厂 技术合作方提供技术方案 武汉新芯拟投资 1600 亿元建设存储芯片晶圆厂 Spansion 提供技术方案 福建晋华存储器项目 + 晋华拟投资 370 亿元建设存储芯片晶圆厂 UMC( 联电 ) 提供技术方案 合肥市存储器项目 合肥市政府 + 兆基科技 ( 尔必达前总经理创立 ) 合肥市政府出资 460 亿元 兆基科技提供技术方案 资料来源 : 东兴证券研究所, 互联网公开资料 存储产业大发展, 封测企业伴生成长国内存储封测厂具备对接实力 我国存储封测技术已经进入世界一流梯队, 已经具备与存储芯片制造商相配套的能

16 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P16 表 9: 存储芯片制造厂商掀起外包封测业务浪潮 时间 力 深科技于去年 6 月收购了沛顿科技, 获得 DRAM 芯片封测业务 沛顿科技原为美国金士顿科技的外商独资企业, 在晶圆封测业务有多年的技术积累 另一家厂 商太极实业已是 SK 海力士的主力封测厂之一, 有着多年的存储封测经验 国内存储封测厂拥有先发优势 比如国内封测企业华天科技已经与武汉新芯达成战 略合作协议, 在封测领域开展合作 存储芯片的 3D 堆叠技术要求制造厂与封测厂 在制造过程中更加紧密的合作, 率先介入制造厂工艺的国内厂商享有先发优势 为应对资本开支压力, 外包封测业务成为趋势 随着摩尔定律的演进, 单个存储芯片制造项目的投资金额日益扩大, 存储厂商渐渐改变原有的制造封测一体化的 IDM 模式, 将封测业务委托给几家长期稳定合作的独立封测厂商 合作事项 2010 大陆封测厂太极实业与韩国存储厂商海力士成立合资封测公司海太半导体, 太极实业持股 55%, 韩国海力 士持股 45%, 合资公司主要承接海力士存储芯片的封测订单 2013 年 5 月日本存储厂商东芝将位于大陆的存储封测厂 ( 无锡通芝微电子 ) 出售给日月光 2014 年 4 月台湾封测厂日月光与台湾最大 DRAM 厂商华亚科技宣布战略合作开发 3D 封装技术, 共同拓展 SiP 制造能 力 两者形成战略联盟, 华亚科技提供晶圆生产制造服务, 日月光提供封测服务 2016 年 3 月美光与台湾力成共同投资 2.5 亿美金在西安设立的存储封测厂竣工投产 资料来源 : 东兴证券研究所, 互联网公开资料 表 10: 全球主要独立存储封测企业概况 封测企业主要客户 2015 年营收 ( 亿元, 人民币 ) 台湾力成东芝 美光 intel sandisk kingston 台湾华东美光 南亚科 华邦 东芝 16 台湾南茂科技美光 飞索 东芝 39 新加坡 UTAC 南亚科 45.2( 存储芯片封测占比 %) 韩国 hana Micron 三星 海力士 韩国 signetics 三星 海力士 11.8 韩国 SFA semicon 三星 海力士 30.8 韩国 ATsemicon 三星 海力士 6.85 资料来源 : 东兴证券研究所, 公司年报 2.3 特色工艺开辟芯片制造差异化之路, 关注氮化镓工艺 特色工艺资本支出相对较小, 或将更快实现突破 在 3 月 24 日举办的 2016 中国半导体市场年会 上, 国家集成电路产业基金总经理丁文武提出将重点推进先进制程工艺及特色工艺制程发展, 同时国家大基金在晶圆制造领域的投资金额占比将从去年的 45% 提升至 60%

17 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P17 集成电路制造工艺主要有两大类 : 一类是适用于数字 SoC 芯片设计的 CMOS 制程工艺, 此类工艺的发展路径遵循着 摩尔定律不断演进,CMOS 工艺以晶体管最小沟道尺寸作为工艺节点标志, 目前台积电 三星 英特尔已实现 14nm/16nm 工艺线量产, 英特尔已经实现 10nm 工艺 试产 另一类是特色工艺, 主要适用于电源管理 射频通讯 图形传感器 MEMS 等领域, 着重关注芯片高频率 大功率 光学性能等指标 先进制程工艺的开发建设需要投入巨额资金 例如建设一条 65nm 生产线需要投入 25 亿美金,32nm 生产线建设需要投入 49 亿美金,20nm 生产线的投入超过 60 亿美金 而特色工艺的资本支出规模远远小于先进制程工艺, 台湾砷化镓晶圆代工龙头企业稳懋半导体 2015 年全年的资本支出仅仅为 7.36 亿元, 研发费用支出仅仅为 1.2 亿元 因此特色工艺资本投入相对较小, 国内厂商或将更快实现突破 图 14: 特色工艺分类 资料来源 : 东兴证券研究所, 华虹半导体,QRVO 砷化镓工艺已经是 4G 射频器件主流工艺 根据 strategic Analytics 数据, 全球砷化镓器件 2014 年市场规模为 74.3 亿美金 其中智能手机是 GaAs 芯片最大市场, 占 GaAs 芯片总销量的 70% 2015 年全球手机出货量达到了 亿部, 每部手机装有数颗 GaAs 芯片,( 一般,2G 手机装有砷化镓芯片 1-2 颗,3G 手机 3-4 颗,4G 手机 5-6 颗 ) 整体市场规模相当可观 由于砷化镓工艺的 PA( 功率放大器 ) 的高频性能 线性度指标远远由于硅工艺, 目前已成为 4G 手机射频芯片的主流工艺 表 11:MMIC 器件广泛应用于各类通讯系统 ( 砷化镓 / 氮化镓工艺 ) 应用领域 工作频率 器件名称 Cable TV 50 to MHz BiHEMT,pHEMT Fiber-Optic Nodes DC to >2.5 GHz,DC to >10 GHz phemt,hbt,bihemt Cellular/PCS/Wireless local 900MHz(Cellular), GHz(PCS), loop(wll) GHz(3G wireless) HBT,pHEMT,BiHEMT Wireless LAN 900MHz,2.4(bluetooth),5.8,60GHz HBT,pHEMT

18 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P18 Global Positioning(GPS) 1.6GHz phemt,bihemt Satellite Cellular 1.6, 2.5GHz(Subscriber) ; 20, 23, 29GHz up/down/crosslink phemt,bihemt Electronic Toll Collection System(ETC) 5.8GHz phemt,bihemt Point-to-Point Radio 6,8,11,15,18,23,38,60GHz phemt,bihemt Very Small Aperture Terminal(VSAT) 6,14,28GHz phemt,bihemt Satellite TV 11 to 13 GHz phemt,bihemt Broadband Satellite Services 28GHz phemt Local Multipoint Distribution(LMDS) 28,31GHz phemt Multipoint Video Distribution Service (MVDS) 42GHz phemt Automotive Redar-Smart Cruise Control( 汽车雷达 ) 76-77GHz phemt 资料来源 : 东兴证券研究所, 稳憨半导体 图 15: GaS( 砷化镓 ) GaN( 氮化镓 ) 等化合物半导体器件对应的应用频率及功率范围 资料来源 : 东兴证券研究所,IEEE 论文 表 12: 各类半导体材料的特点及应用范围 代表材料 特点及应用 第一代半导体材料 锗和硅 工艺成熟, 制造成本低 广泛应用于集成电路制造领域 第二代半导体材料 砷化镓 磷化铟 主要应用于以光发射器件为基础的光显示 光通信和光存储等光电子系统 第三代半导体材料 氮化镓 碳化硅 金刚石 具有具有宽的带隙 强的原子键 高的热导率 高熔点 (1700 摄氏度 ) 耐腐蚀等优点 主要在高温大功率器件 射频器件 高频微波器件中应用 资料来源 : 东兴证券研究所 图 16: 全球砷化镓半导体市场格局 图 17: 全球砷化镓半导体 Foundry 市场格局

19 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P19 资料来源 : 东兴证券研究所,SA 资料来源 : 东兴证券研究所,SA 占尽天时地利, 砷化镓 / 氮化镓工艺国产化进行中 中国制造 2025 技术路线图指出发展目标 2015 年 11 月, 国家制造强国建设战略咨询委员会发布 中国制造 2025 技术路线图, 提出氮化镓 SiC 半导体器件的发展目标 国家制造强国领导小组 是中国制造 2025 的战略顶级领导机构, 由国务院副总理马凯担任组长 中国制造 2025 技术路线图是 国家制造强国领导小组 的智囊团 建设委员会 编撰并发布的, 是引导先进制造产业发展的权威文件 图 18: 中国制造 2025 技术路线图 对化合物半导体行业设定的目标 光光光光 光光光光光光 200l m/ w 光光光光光 LED 光光光光光光光光光光 50mW 光光光 Al GaN 光光光 LED 光光光光光光光光光光光 60% 光光光光光光光光光光光 80% 15KV 光光 Si C 光光光光光光光光光光光光光光光光光光光光 GaN 光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光光 光光光光 100Mhz 光光光 GaN 光 HEMT 光光光光光光光光光光 5G 光光光光光光光光光光光 资料来源 : 东兴证券研究所, 国家制造强国建设战略咨询委员会 未来 5G 手机砷化镓 / 氮化镓射频芯片使用量倍增 3GPP 提出的 5G 标准通信频率为 6G-100Ghz, 包括 8Ghz 15Ghz 28Ghz 60Ghz 73Ghz 频段 5G 场景下, 通信频率显著提高, 高频性能优异 线性度高的砷化镓 / 氮化镓射频芯片将是主流方案 同时, 为了适应多个频段,5G 手机使用的射频芯片数量将远远高于 4G 手机

20 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P20 图 19: 单部手机射频芯片成本 ( 美金 ) 资料来源 : 东兴证券研究所,qorvo 我国砷化镓 / 氮化镓器件有一定技术基础 微波通讯领域 ( 航空航天应用 ): 中国电科 13 所 中国电科 55 所拥有丰富的砷化镓器件产业化经验 中电 13 所成立于 1956 年, 设有砷化镓集成电路和功率器件国家重点实验室, 制作了我国第一只砷化镓微波场效应晶体管 第一块砷化镓集成电路, 创造了砷化镓领域的多项国内第一 中电 55 所成立于 1958 年, 设有微波毫米波单片集成电路与模块国家级重点实验室 在微波化合物半导体 MMIC( 单片微波集成电路 ) 相关领域的研发能力和产品水平处于国内领先 国际先进地位 4G/5G 无线通讯领域 ( 手机应用 ): 紫光旗下的 RDA 具备砷化镓 PA 设计能力, 其 3G/4G PA 产品线性度和功率转换效率指标已经达到国际领先水平 RDA 不具备制造能力, 它的产品主要交给台湾稳憨做晶圆代工 借助资本力量, 国内厂商整合全球优质氮化镓 / 砷化镓工艺线资源 2016 年 4 月, 三安光电拟以 2.26 亿美金收购美国砷化镓晶圆制造厂商 GCS 100% 股权 虽然最终未获 CFIUS( 美国外国投资委员会 ) 审核通过, 双方签署 谅解备忘录 成立一家合资公司布局手机射频 滤波器业务 3 投资策略及相关公司解析 我们认为半导体行业是资金密集型 知识密集型产业, 国家大基金的重点投资领域代表着国家强力扶持的方向, 也代表着国家意志 国家大基金今年的投资方向重点为芯片制造 存储领域, 因此受益于芯片制造领域建厂浪潮 国产存储崛起 特色工艺突破的细分子行业值得投资者重点关注 在设备领域, 七星电子是 A 股市场唯一的半导体设备企业, 是未来整合半导体设备 行业的平台型企业

21 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P21 在材料领域, 上海新阳的半导体硅片 电子化学品业务将逐步突破国外垄断 在存储封测领域, 深科技拥有多年的存储封测经验, 是国内为数不多的具有量产经 验的存储封测厂, 在国内存储产业的崛起浪潮中将充分受益 在特色工艺领域, 三安光电拟投资 30 亿元建设 GaN/GaAs 工艺线, 建成后将成为我 国最大规模 GaN GaAs 射频工艺线 三安光电通过与外资厂商合作化解了技术难 题, 项目前景向好 3.1 七星电子 ( SZ) 七星与北方微是国内半导体设备领军企业, 两者合并后实现了产品优势互补及销售渠道共享, 七星的强项在于氧化炉 清洗机 质量流量控制器领域, 北方微的优势在于刻蚀机 PVD 设备, 两者合并后将有利于互相促进产品销售 北方微是国内唯一一家有能力为客户提供前道硅刻蚀机 PVD 的供应商, 北方微的刻蚀设备与国外竞争对手实力相当, 占据了国内封装 PVD 市场 47.8% 的份额 在集成电路制造领域, 北方微的硅刻蚀 铜互连 PVD 硬掩膜 PVD 与国际最高技术水平差距已缩小到一至两个技术代 另外七星电子先后承担了多项 02 专项, 目前已经实现了 12 英寸立式氧化炉的量产销售 我们预计公司 2016 年营业收入和净利润分别为 15.9 亿元和 1.23 亿元, 同比增长 86% 和 219%, 年 EPS 为 0.27 元 0.61 元 0.80 元, 对应 PE 为 147 倍 65 倍 50 倍 3.2 上海新阳 ( SZ) 公司产品属于配方类化学品, 固定资产投入比重低, 产能扩张容易, 产品一旦实现突破能快速上量 公司是国内半导体硅材料及化学品行业的核心上市平台 公司与国家集成电路大基金共同投资了上海新昇 12 寸大硅片项目, 目前 12 寸硅片领域主要由日本信越 Sumco Siltronic MEMC LG Siltron SAS 垄断 上海新昇的大硅片项目投产后将打破外国企业在 12 寸硅片领域的垄断 公司的化学产品涵盖电镀工艺 铜互连工艺等, 是国内唯一的在先进封装及晶圆制造领域实现产业化的企业, 目前为国内半数以上的封装企业供货, 晶圆化学品业务亦实现了对中芯国际 海力士 华力微的销售 目前公司已被台积电列入合格供应商目录并开始进行产品验证, 预计今年有望突破台积电这一大客户 我们预计公司 2016 年营业收入和净利润分别为 4.3 亿元和 6000 万元, 同比增长 17% 和 42%, 年 EPS 为 0.41 元 0.91 元 1.23 元, 对应 PE 为 104 倍 47 倍 35 倍 3.3 深科技 ( SZ) 公司收购的沛顿科技是国内少有的存储芯片封测企业 沛顿科技是美国 Kingston 在国内投资的企业, 主要从事 DRAM 和 FLASH 的封装及测试业务 沛顿科技吸收了内存巨头 Kingston 在封测领域的核心技术, 技术实力国际领先, 在国家存储芯片国产化战略中将发挥重要作用, 未来可能受到国家或地方政府背景的产业基金扶持并扩增产能

22 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P22 我们预计公司 2016 年营业收入和净利润分别为 亿元和 3.3 亿元, 同比增长 10% 和 83%, 年 EPS 为 0.23 元 0.39 元 0.53 元, 对应 PE 为 47 倍 27 倍 20 倍 3.4 三安光电 ( SH) 公司拟投资 30 亿元建设两条化合物半导体生产线, 建成后将形成 36 万片砷化镓 / 氮化镓外延片产能及 36 万片砷化镓 / 氮化镓芯片产能, 建成后将成为我国最大的砷化镓 / 氮化镓芯片制造企业 目前产线设备已完成试产, 部分产品已经获得客户认证通过, 今年下半年随着设备陆续到位, 公司的产能将逐步释放并贡献业绩 我们预计公司 2016 年营业收入和净利润分别为 61.2 亿元和 23.3 亿元, 同比增长 26 和 38%, 年 EPS 为 0.85 元 1.08 元 1.24 元, 对应 PE 为 14 倍 11 倍 10 倍

23 东兴证券行业深度报告电子行业 : 半导体制造兴起的三大投资机遇 P23 分析师简介 分析师 : 杨若木 基础化工行业小组组长,7 年证券行业研究经验, 擅长从宏观经济背景下, 把握化工行业的发展脉络, 对周期性行业的业绩波动有比较准确判断, 重点关注具有成长性的新材料及精细化工领域 曾获得卖方分析师 水 晶球奖 第三名, 今日投资 化工行业最佳选股分析师第一名, 金融界 慧眼识券商 最受关注化工行业分析师, 证券通 化工行业金牌分析师 联系人简介 联系人 : 贺茂飞 复旦大学微电子与固体电子学硕士,2016 年 7 月加入东兴证券从事电子行业研究 联系人 : 余江 北京大学硕士,2016 年 1 月加入东兴证券从事电子行业研究 分析师承诺 负责本研究报告全部或部分内容的每一位证券分析师, 在此申明, 本报告的观点 逻辑和论据均为分析师本人研究成果, 引用的相关信息和文字均已注明出处 本报告依据公开的信息来源, 力求清晰 准确地反映分 析师本人的研究观点 本人薪酬的任何部分过去不曾与 现在不与, 未来也将不会与本报告中的具体推荐或 观点直接或间接相关

24 东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P24 免责声明 本研究报告由东兴证券股份有限公司研究所撰写, 东兴证券股份有限公司是具有合法证券投资咨询业务资格的机构 本研究报告中所引用信息均来源于公开资料, 我公司对这些信息的准确性和完整性不作任何保证, 也不保证所包含的信息和建议不会发生任何变更 我们已力求报告内容的客观 公正, 但文中的观点 结论和建议仅供参考, 报告中的信息或意见并不构成所述证券的买卖出价或征价, 投资者据此做出的任何投资决策与本公司和作者无关 我公司及其所属关联机构可能会持有报告中提到的公司所发行的证券头寸并进行交易, 也可能为这些公司提供或者争取提供投资银行 财务顾问或者金融产品等相关服务 本报告版权仅为我公司所有, 未经书面许可, 任何机构和个人不得以任何形式翻版 复制和发布 如引用 刊发, 需注明出处为东兴证券研究所, 且不得对本报告进行有悖原意的引用 删节和修改 本研究报告仅供东兴证券股份有限公司客户和经本公司授权刊载机构的客户使用, 未经授权私自刊载研究报告的机构以及其阅读和使用者应慎重使用报告 防止被误导, 本公司不承担由于非授权机构私自刊发和非授权客户使用该报告所产生的相关风险和责任 行业评级体系 公司投资评级 ( 以沪深 300 指数为基准指数 ): 以报告日后的 6 个月内, 公司股价相对于同期市场基准指数的表现为标准定义 : 强烈推荐 : 相对强于市场基准指数收益率 15% 以上 ; 推荐 : 相对强于市场基准指数收益率 5%~15% 之间 ; 中性 : 相对于市场基准指数收益率介于 -5%~+5% 之间 ; 回避 : 相对弱于市场基准指数收益率 5% 以上 行业投资评级 ( 以沪深 300 指数为基准指数 ): 以报告日后的 6 个月内, 行业指数相对于同期市场基准指数的表现为标准定义 : 看好 : 相对强于市场基准指数收益率 5% 以上 ; 中性 : 相对于市场基准指数收益率介于 -5%~+5% 之间 ; 看淡 : 相对弱于市场基准指数收益率 5% 以上

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 物联网 通信设备双驱动带动公司高增长 日海智能 (002313) 中报业绩点评 2018 年 08 月 28 日强烈推荐 / 首次日海智能财报点评 姓名杨若木执业证书编号 :S1480510120014 事件 : Email:Tel: 010-66554032 yangrm@dxzq.net.cn 2018 年 8

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

P2 比去年同期增长了 2.5 个百分点 ; 公司目前经营稳定 销售渠道搭建成熟, 使得管理费用和销售费用额度增长低于收入增速, 因此期间费用率同比下降将近 1 个百分点 ; 毛利率上升 费用率下降, 这是利润增速高于收入增速的原因 去年同期公司收到政府补助 0.39 亿元, 本报告期只有 247

P2 比去年同期增长了 2.5 个百分点 ; 公司目前经营稳定 销售渠道搭建成熟, 使得管理费用和销售费用额度增长低于收入增速, 因此期间费用率同比下降将近 1 个百分点 ; 毛利率上升 费用率下降, 这是利润增速高于收入增速的原因 去年同期公司收到政府补助 0.39 亿元, 本报告期只有 247 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 业绩亮眼的藏药消痛专家 奇正藏药 (002287) 半年度财报点评 2015 年 08 月 13 日强烈推荐 / 上调奇正藏药财报点评 杨若木分析师执业证书编号 :S1480510120014 刘阳 事件 : yangrm@dxzq.net.cn 010-66554032 联系人 liu_yang@dxzq.net.cn

More information

P2 东兴证券定期报告 目录 1. 股市资金净流入 市场情绪 其他... 9 表格目录 表 1: 北向十大活跃个股... 5 表 2: 南向十大活跃个股... 6 插图目录 图 1:A 股资金净流入本期与上期对比... 3 图 2: 融资余额及融资余额净增长... 4

P2 东兴证券定期报告 目录 1. 股市资金净流入 市场情绪 其他... 9 表格目录 表 1: 北向十大活跃个股... 5 表 2: 南向十大活跃个股... 6 插图目录 图 1:A 股资金净流入本期与上期对比... 3 图 2: 融资余额及融资余额净增长... 4 金融工程 东兴证券股份有限公司证券研究报告 股市流动性周报 (18925-18928) 投资摘要 : 本期纳入监测的股市流动性指标分为股市资金净流入 市场情 绪及其他指标等三部分 总体来说, 资金净流入有所减少, 股 市整体上涨, 中国波指 IVIX 上涨, 总体变动 9.38%, 收于 21.19 本期股市资金净流入 -6.4 亿元, 上期为 -22.5 亿元, 变动了 -37.54 亿元 从一级市场来看,

More information

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options)

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) 大连期货市场月报 DALIAN FUTURES MARKET MONTHLY REPORT 市场提要 本月要事 品种运行与价格 交易数据 产业资讯 美国农业部数据 主办 : 大连商品交易所 218 年第 5 期总第 15 期 5 内部资料 妥善保存 月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) CONTENTS 目录 5 月市场提要 1 本月要事

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

P2 东兴证券定期报告 资金净流入减少, 中国波指 IVIX 在高位徘徊 目录 1. 股市资金净流入 市场情绪 其他... 9 表格目录 表 1: 北向十大活跃个股... 5 表 2: 南向十大活跃个股... 6 插图目录 图 1:A 股资金净流入本期与上期对比...

P2 东兴证券定期报告 资金净流入减少, 中国波指 IVIX 在高位徘徊 目录 1. 股市资金净流入 市场情绪 其他... 9 表格目录 表 1: 北向十大活跃个股... 5 表 2: 南向十大活跃个股... 6 插图目录 图 1:A 股资金净流入本期与上期对比... 金融工程 东兴证券股份有限公司证券研究报告 资金净流入减少, 中国波指 IVIX 在高位徘 徊 股市流动性周报 (18115-18119) 投资摘要 : 本期纳入监测的股市流动性指标分为股市资金净流入 市场情 绪及其他指标等三部分 总体来说, 资金净流入有所增加, 股 市整体下跌, 中国波指 IVIX 上涨, 总体变动 8.21%, 收于 31.13% 本期股市资金净流入 65.91 亿元, 上期为

More information

长城汽车(601633)2012年年报点评:增速趋缓风险隐现

长城汽车(601633)2012年年报点评:增速趋缓风险隐现 p1 公司研究 股份有限公司证券研究报告 长城汽车 (601633)2012 年年报点评 2013 年 3 月 22 日推荐 / 下调长城汽车财报点评 张洪磊 zhanghl@dxzq.net.cn 010-66554014 执业证书编号 :S1480512100001 事件 : 2012 年, 公司实现收入 431.60 亿元, 同比增长 43.44%; 实现利润总额 68.41 亿元, 同比增长

More information

行业周报

行业周报 2016 年 08 月 21 日 行 业 研 究 评 级 : 推 荐 ( 上 调 ) 研 究 所 证 券 分 析 师 : 王 凌 涛 S0350514080002 021-68591558 wanglt01@ghzq.com.cn 联 系 人 : 李 虒 S0350115070033 18901056681 lis03@ghzq.com.cn 联 系 人 : 凌 琳 S0350116080013 18201805368

More information

沧州明珠(002108)年报点评:湿法隔膜持续释放,BOPA膜盈利提升

沧州明珠(002108)年报点评:湿法隔膜持续释放,BOPA膜盈利提升 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 维生素涨价潮持续 兄弟科技 (002562) 财报点评 17 年 07 月 31 日强烈推荐 / 维持兄弟科技财报点评 刘宇卓分析师执业证书编号 :S1480516102 事件 : liuyuzhuo@dxzq.net.cn 010-66554030 兄弟科技发布 17 年中报 : 实现营业收入 6.54 亿元,YoY+29%,

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

P2 降 10.14%, 占收入比重下降 5.71 个百分点, 其中高温肉制品收入为 亿元, 同比下降 9.92%, 占收入比重下降 3.51 个百分点, 低温肉制品收入为 亿元, 同比下降 10.51%, 占收入比重下降 2.21 个百分点, 在肉制品收入方面, 我们预计

P2 降 10.14%, 占收入比重下降 5.71 个百分点, 其中高温肉制品收入为 亿元, 同比下降 9.92%, 占收入比重下降 3.51 个百分点, 低温肉制品收入为 亿元, 同比下降 10.51%, 占收入比重下降 2.21 个百分点, 在肉制品收入方面, 我们预计 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 慷慨分红, 看好公司 16 年增长 双汇发展 (000895)2015 年财报点评 2016 年 03 月 30 日强烈推荐 / 维持双汇发展财报点评 徐昊分析师执业证书编号 :S1480514070003 xuhao@dxzq.net.cn 010-66554017 焦凯分析师执业证书编号 :S1480512090001

More information

东吴证券研究所

东吴证券研究所 证券研究报告 行业研究 食品饮料行业 食品饮料周报 + ( ) : 3.8% 1% 1.7% 17 : 1 1 14 217 4 16 S651592 mahb@dwzq.com.cn 21-6199762 1 688716 2 217413 17Q1 2 + 15%+18%+ 17Q1 + 217411 15%+35%+ 3 6872 2 + +3% 1 217411 2 4 6327 + 217411

More information

untitled

untitled OO 08 08 08 08 2 3 4 5 1. 07 07 07 6 7 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 Jan-00 Jun-00 Nov-00 Apr-01 01 Feb-02 Jul-02 Dec-02 03 Oct-03 Mar-04 Aug-04 Jan-05 Jun-05 Nov-05 Apr-06 06 Feb-07 Jul-07 1. 5

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 泛半导体产业的 卖铲人 七星电子 (002371) 深度报告 报告摘要 : 晶圆厂建设浪潮直接受益者 下游扩产引发半导体设备需求爆发, 预计在 2017 年上半年开始, 设备行业逐步进入订单爆发期 十三五期间, 半导体设备国产化率将提升至 30% 以上, 七星电子是集成电路领域氧化炉 清洗机 刻蚀机 PVD 等设备的唯一国内供应商, 是国内厂商蛋糕份额提高的直接受益者

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

untitled

untitled ... 1... 1... 3... 4... 6... 6... 6... 8... 8... 9... 10... 12... 12... 12... 14... 14... 15... 15... 15... 16... 18... 18... 18... 20... 22... 23 I ... 25... 26... 27... 28... 30... 30... 31... 33...

More information

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

P2 信产业实现营收 3.85 亿元, 同比增长 56%, 毛利率 37.6%, 同比下降 1.47 个百分点 ; 电子蓝军产品实现营收 2.05 亿元, 同比增长 6.52%, 毛利率 63%, 同比增加 0.78 个百分点 ; 电磁安防类产品实现营收 7862 万元, 同比增长 73.6%, 毛

P2 信产业实现营收 3.85 亿元, 同比增长 56%, 毛利率 37.6%, 同比下降 1.47 个百分点 ; 电子蓝军产品实现营收 2.05 亿元, 同比增长 6.52%, 毛利率 63%, 同比增加 0.78 个百分点 ; 电磁安防类产品实现营收 7862 万元, 同比增长 73.6%, 毛 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 聚焦军品业务打造混改典型 航天发展 (000547) 中报点评 2016 年 08 月 30 日强烈推荐 / 维持航天发展财报点评 杨若木分析师执业证书编号 :S1480510120014 yangrm@dxzq.net.cn 010-66554032 邱日尧联系人执业证书编号 :S1480115110069 qiury@dxzq.net.cn

More information

SBS % % %

SBS % % % 2010 03 22 002377.SZ ()13.95 () 19.80 A () 27.00 () 107.00 13127.72 300 3302.63 5986.05 14380 13380 12380 11380 10380 9380 8380 7380 090323 090617 090907 091204 100304 300 2007 2008 2009 2010E 2011E 2012E

More information

P2 表 1: 公司产品产能结构 产品 产能 ( 吨 ) 维生素 维生素 B1 32 维生素 B3 13 维生素 K3 3 维生素 B5 5 皮革化学品 铬鞣剂 45 皮革助剂 2 资料来源 : 公司公告, 东兴证券研究所 图 1: 维生素 B1 产品价格 7 6

P2 表 1: 公司产品产能结构 产品 产能 ( 吨 ) 维生素 维生素 B1 32 维生素 B3 13 维生素 K3 3 维生素 B5 5 皮革化学品 铬鞣剂 45 皮革助剂 2 资料来源 : 公司公告, 东兴证券研究所 图 1: 维生素 B1 产品价格 7 6 公司研究 东兴证券股份有限公司证券研究报告 维生素涨价, 公司受益显著 兄弟科技 (2562) 事件点评 事件 : 近期, 维生素价格底部回暖 兄弟科技作为多种维生素生产的龙头 企业, 受益显著 主要观点 : 1. 维生素底部回暖态势显著 217 年 -218 年, 维生素价格经历了一轮过山车行情 在 217 年 由于环保强化 园区集中整治等因素导致维生素生产集中度进一步 提升, 且生产成本显著提高,

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 大力投入加强教育领域布局,AI 语音龙头加速应用落地 科大讯飞 (002230)2016 年业绩快报点评 事件 : 公司发布 2016 年年度业绩快报称,2016 年归属于母公司所有者的 净利润为 4.79 亿元, 较上年同期增 12.69%; 营业收入为 33.2 亿 元, 较上年同期增 32.75%; 基本每股收益为 0.37 元, 较上年同期 增 8.82%

More information

房地产2012年三季报点评:增长依然保持,投资轻行业重个股

房地产2012年三季报点评:增长依然保持,投资轻行业重个股 行业研究 股份有限公司证券研究报告 房地产行业 2012 年三季报分析 2012 年 10 月 31 日看好 / 维持房地产财报点评 郑闵钢房地产行业分析师电话 :010-66554031 执业资格证号 : S1480510120012 张鹏房地产行业分析师电话 :010-66554029 执业资格证号 : S1480512060003 事件 : 截止 2012 年 10 月 31 日,1-9 月份

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15 2008IT /15 Agenda 2008 & 2008 2008 & US$B PC/系統潮 網路潮/節能潮 3C潮 企業市場消費者 成熟市場消費者 成熟+新興市場消費者 代 時 代 C 時 P C n P NNoon 1,000 PPCC時 時代 代 G-phone 後PC時代 後PC時代 HDTV IPTV Web NB $500B 100 $100B 10 2003 Source 拓墣產業研究所

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 传统主业成长稳健, 智明星通持续释放利润 中文传媒 (600373) 季报点评 2018 年 10 月 29 日推荐 / 维持中文传媒财报点评 郑闵钢分析师执业证书编号 :S1480510120012 zhengmgdxs@hotmail.com 010-66554031 事件 : 公司发布 2018 年三季报,2018Q3

More information

山 东 省 重 点 行 业 技 术 发 展 白 皮 书 ( 二 ) 山 东 省 经 济 和 信 息 化 委 员 会 2016 年 7 月 前 言 推 进 供 给 侧 结 构 性 改 革, 必 须 牢 固 树 立 创 新 发 展 理 念 面 对 经 济 发 展 新 常 态 和 新 一 轮 全 球 产 业 变 革, 全 省 工 业 战 线 主 动 响 应 国 家 战 略, 积 极 调 整 发 展 思

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

Microsoft Word - 20141110_玉山投顧_台股產業週報

Microsoft Word - 20141110_玉山投顧_台股產業週報 台 股 產 業 週 報 產 業 總 結...01 產 業 概 況 與 個 股 分 析 ν IC 設 計 晶 圓 代 工 及 通 路 IC 封 測....05 ν 手 機 相 關 網 路 通 訊 生 技...09 ν TFT 面 板 面 板 零 組 件 PCB 記 憶 體...15 ν NB 相 關 工 業 電 腦 電 源 供 應 器 安 控...19 ν 太 陽 能 LED 塑 膠 原 料 紡 織

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 销售激励持续投入, 静待新品加速推广 承德露露 (000848)2018 半年度财报点评 2018 年 07 月 30 日强烈推荐 / 维持承德露露财报点评 刘畅分析师执业证书编号 :S1480517120001 liuchang_yjs@dxzq.net.cn 010-66554017 范垄基分析师执业证书编号 :S1480517070001

More information

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信 数 字 电 视 普 及 分 阶 段 实 施 2013 年 1 月 22 日 董 长 肜 郭 海 燕 ( 责 ) 李 纬 东 青 伶 俐 专 题 摘 要 近 期 专 题 研 究 目 录 摘 要 专 题 : 国 务 院 出 新 政 力 促 通 用 航 空 1 月 22 日 相 关 政 策 专 题 : 页 岩 气 第 二 批 中 标 结 果 公 布 1 月 22 日 行 业 发 展 专 题 : 前 海 开

More information

西安电子科技大学硕士学位论文 NAND Flash 坏块管理算法及逻辑层驱动设计姓名 : 林刚申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 戴显英 20090101 NAND Flash 坏块管理算法及逻辑层驱动设计

More information

目 录 一 本 周 水 泥 价 格 跟 踪... 4 二 本 周 分 区 域 水 泥 价 格 详 情... 5 1 华 北 地 区 水 泥 价 格 保 持 平 稳... 5 2 东 北 地 区 价 格 稳 中 略 有 下 滑... 5 3 华 东 地 区 水 泥 价 格 延 续 上 调... 6 4

目 录 一 本 周 水 泥 价 格 跟 踪... 4 二 本 周 分 区 域 水 泥 价 格 详 情... 5 1 华 北 地 区 水 泥 价 格 保 持 平 稳... 5 2 东 北 地 区 价 格 稳 中 略 有 下 滑... 5 3 华 东 地 区 水 泥 价 格 延 续 上 调... 6 4 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 16/05 16/06 16/07 16/08 行 业 研 究 : 水 泥 市 场 周 报 (2016.09.05~2016.09.11) 2016 年 09 月 12 日 价 格 继 续 上 扬, 酝 酿 第 三 轮 上 涨 中 性 ( 维 持 ) 本 周 水 泥 市 场 综 述 本 周 全 国 水 泥

More information

36 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 2 11:20-11:55 37 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 广东 2 13:00-13:35 38 SQ2016YFHZ 能源相关方

36 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 2 11:20-11:55 37 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 广东 2 13:00-13:35 38 SQ2016YFHZ 能源相关方 项目序号 重点研发计划视频评审战略性国际科技创新合作重点专项答辩项目信息 项目编号分组名称答辩日期答辩地点答辩时间 1 SQ2016YFHZ020873 城镇化与公共安全相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 1 9:00-9:35 2 SQ2016YFHZ021362 城镇化与公共安全相关方向组 7 月 17 日 ( 星期一 ) 江苏 1 9:35-10:10 3 SQ2016YFHZ021367

More information

P2 于该项业务营业收入的增幅 25.86% 2015 年销售费用率为 0.39%, 同比增加 0.05 个百分点, 主要是业务规模扩张所致 2015 年管理费用率为 2.58%, 同比增长 0.56 个百分点, 公司经营规模扩张所致 2015 年财务费用率为 1.24%, 较上年下降 0.06 个

P2 于该项业务营业收入的增幅 25.86% 2015 年销售费用率为 0.39%, 同比增加 0.05 个百分点, 主要是业务规模扩张所致 2015 年管理费用率为 2.58%, 同比增长 0.56 个百分点, 公司经营规模扩张所致 2015 年财务费用率为 1.24%, 较上年下降 0.06 个 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 坏账减值大下业绩增长稳定, 互联网 + 和一带一路发展成 方向 宝鹰股份 (002047)2015 年财报点评 2016 年 4 月 15 日推荐 / 维持宝鹰股份财报点评 姓名分析师赵军胜执业证书编号 :S1480512070003 事件 : Email:zhaojs@dxzq.net.cnTel:010-66554088

More information

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 ( 2018-02-26 TMT 芯片国产化大涨 : 政府大基金投入终 结果, 国产替代趋势不可逆 核心提示 芯片国产化指数大涨 : A 股芯片国产化概念板块 (884160.WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 (300474.SZ) 国科微(300672.SZ) 上海新阳 (300236.SZ) 北方华创(002371.SZ) 江丰电子 (300666.SZ)

More information

P2 全 平台工具 安全服务以及安全集成等多个领域, 布局全面 应用广泛, 客户涉及政府 金融 能源 电信等多个行业 其防火墙产品已经连续多年国内市场占有率排名第一 根据 IDC 发布的最新 中国网络安全 市场份额,2015:IT 安全硬件 软件 服务 报告, 天融信在防火墙硬件市场份额占比 22.

P2 全 平台工具 安全服务以及安全集成等多个领域, 布局全面 应用广泛, 客户涉及政府 金融 能源 电信等多个行业 其防火墙产品已经连续多年国内市场占有率排名第一 根据 IDC 发布的最新 中国网络安全 市场份额,2015:IT 安全硬件 软件 服务 报告, 天融信在防火墙硬件市场份额占比 22. 公司研究 东兴证券股份有限公司证券研究报告 收购天融信获批,A 股再添网安龙头 南洋股份 (002212) 事件点评 事件 : 2016 年 11 月 3 日, 公司接到证监会通知, 经中国证监会上市公司 并购重组审核委员会于 2016 年 11 月 3 日召开的 2016 年第 83 次并 购重组委工作会议审核, 公司本次发行股份及支付现金购买资产并 募集配套资金暨关联交易事项获得无条件通过 主要观点

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 缺考 英语一 缺考 数学三 缺考 思想政治理论 60 英语一 78 数学三

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 缺考 英语一 缺考 数学三 缺考 思想政治理论 60 英语一 78 数学三 100019000470001 思想政治理论 英语一 数学三 100019000470002 思想政治理论 60 英语一 78 数学三 119 100019000470003 思想政治理论 61 英语一 72 数学三 121 100019000470004 思想政治理论 62 英语一 82 数学三 108 100019000470005 思想政治理论 英语一 数学三 100019000470006

More information

<4D F736F F D20B7BFB5D8B2FAA3BACFFACADBD3EBB9C9BCDBB6CCC6DAB3F6CFD6B1B3C0EB2E646F63>

<4D F736F F D20B7BFB5D8B2FAA3BACFFACADBD3EBB9C9BCDBB6CCC6DAB3F6CFD6B1B3C0EB2E646F63> 行业研究东兴证券股份有限公司事件点评报 告三亚跌幅为 27.62% 在上周的报告中我们指出 : 近期三个月房地产股应该具备较好的投资机会, 而在下半年房地产行业的 2011 年 5 月 24 日看好 / 维持 周数据点评 房地产 行业点评 郑闵钢 房地产行业分析师 电话 :010-66554031 执业资格证号 : S1480510120012 联系人 : 苏阳 电话 :010-66554051 Zhengmg@dxzq.net.cn

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

AA

AA 投 资 价 值 分 析 报 告 水 泥 行 业 2008 年 04 月 05 日 青 松 建 化 (600425.SH) 市 价 ( 人 民 币 ):12.55 元 目 标 ( 人 民 币 ):16.50 元 规 避 宏 调 风 险, 高 增 长 的 南 疆 水 泥 龙 头 长 期 竞 争 力 评 级 : 高 于 行 业 均 值 卖 出 减 持 持 有 买 入 强 买 市 场 数 据 ( 人 民 币

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

<4D F736F F D DB6B0C1BAD0C2B2C4B5F7D1D0B1A8B8E6A3BAB3C9B3A4D3D0B1A3D5CFA3ACB9C0D6B5D3D0D3C5CAC62D E646F63>

<4D F736F F D DB6B0C1BAD0C2B2C4B5F7D1D0B1A8B8E6A3BAB3C9B3A4D3D0B1A3D5CFA3ACB9C0D6B5D3D0D3C5CAC62D E646F63> 2011 9 20 2006 2010 46.44% 5 5 12 5 2005 2011 1.55 3300 / 1800-2100 / 2500 / 2011-2013 EPS 0.83 1.10 1.24 PE 15.1 11.4 10.1 2011 PE 31 2012 PE 21 ( ) (%) ( ) (%) EPS ( ) ( ) 2010 805,371 27% 15,199 26%

More information

上海市机器人行业协会信息 2016 年第 10 期 ( 总第 18 期 ) 编者按 : 聚焦安全协作创新未来推动机器人产业健康发展 加快上海科创中心建设, 助力产业升级 打造上海机器人生态圈和产业升级高地的战略思考 ( 一 ) 创新驱动, 深入探索机器人产业发展新模式 ( 二 ) 协同创新, 实现核心零部件和高端产品重大突破 ( 三 ) 开发共享, 构建长三角机器人产业发展新高地 ( 四 )

More information

预算04表_北京市科学技术委员会2016年项目支出预算表.xls

预算04表_北京市科学技术委员会2016年项目支出预算表.xls 北 京 市 科 学 技 术 委 员 会 2016 年 项 目 预 算 表 015 北 京 市 科 学 技 术 委 员 会 275624.652873 3131.699000 015102 北 京 市 科 学 技 术 委 员 会 本 级 行 政 184.820000 0.000000 206 科 学 技 术 184.820000 0.000000 20601 科 学 技 术 管 理 事 务 184.820000

More information

一 调研说明中商情报网全新发布的 年全球及中国半导体封测行业研究报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料, 结合深入的市场调研资料, 由中商情报网的资深专

一 调研说明中商情报网全新发布的 年全球及中国半导体封测行业研究报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料, 结合深入的市场调研资料, 由中商情报网的资深专 2011-2012 年全球及中国半导体封测行业研究报告 Customer Service Hotline:400-666-1917 Page 1 of 20 一 调研说明中商情报网全新发布的 2011-2012 年全球及中国半导体封测行业研究报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料,

More information

Microsoft Word - 20160721_玉山投顧_台股晨訊

Microsoft Word - 20160721_玉山投顧_台股晨訊 玉 山 晨 訊 台 股 晨 訊 台 股 交 易 行 情 單 位 : 億 元 口 指 數 別 收 盤 漲 跌 成 交 量 加 權 指 數 9007.68-27.19 948.70 OTC 130.47-0.52 246.74 7 月 台 指 期 9003.00-29.00 94,642 電 子 指 數 365.82-1.27 547.06 7 月 電 子 期 365.65-0.65 1,898 金 融

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 终止重大资产重组, 自有资金收购醍醐兄弟 进军教育直播蓝海 松发股份 (603268) 事件点评 2017 年 5 月 25 日推荐 / 维持松发股份事件点评 姓名分析师 : 杨若木执业证书编号 :S1480510120014 Email: yangrm@dxzq.net.cn 姓名分析师 : 洪一执业证书编号 :S1480516110001

More information

北京七星华创电子股份有限公司2015年年度报告摘要

北京七星华创电子股份有限公司2015年年度报告摘要 证券代码 :002371 证券简称 : 七星电子公告编号 :2016-019 北京七星华创电子股份有限公司 2015 年年度报告摘要 一 重要提示 本年度报告摘要来自年度报告全文, 为全面了解本公司的经营成果 财务状况及未来发展规划, 投资者应当到证监会指定媒体仔细阅读年度报告全文 董事 监事 高级管理人员异议声明 声明 姓名职务内容和原因 除下列董事外, 其他董事亲自出席了审议本次年报的董事会会议

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

Microsoft Word - 20160623_玉山投顧_台股晨訊

Microsoft Word - 20160623_玉山投顧_台股晨訊 玉 山 晨 訊 台 股 晨 訊 台 股 交 易 行 情 單 位 : 億 元 口 指 數 別 收 盤 漲 跌 成 交 量 加 權 指 數 8716.25 31.40 729.55 OTC 129.07 0.13 196.74 7 月 台 指 期 8509.00-1.00 113,230 電 子 指 數 351.69 1.22 424.02 7 月 電 子 期 342.85-0.25 2,537 金 融

More information

目 录 本 报 告 的 写 作 思 路... 5 一 行 情 回 顾 : 传 统 经 营 淡 季, 电 子 行 业 上 半 年 波 澜 不 惊... 5 二 行 业 前 景 初 判 断 : 先 行 指 标 温 和 回 暖... 6 1 总 体 指 标 与 先 行 指 标 电 子 行 业 高 景 气

目 录 本 报 告 的 写 作 思 路... 5 一 行 情 回 顾 : 传 统 经 营 淡 季, 电 子 行 业 上 半 年 波 澜 不 惊... 5 二 行 业 前 景 初 判 断 : 先 行 指 标 温 和 回 暖... 6 1 总 体 指 标 与 先 行 指 标 电 子 行 业 高 景 气 行 业 研 究 电 子 元 器 件 :2015 年 度 投 资 策 略 报 告 2014 年 12 月 22 日 紧 随 智 能 化 浪 潮, 寻 找 成 长 性 标 的 看 好 ( 维 持 ) 2015 年 行 业 前 景 判 断 A 股 电 子 行 业 单 季 度 营 业 利 润 增 速 下 滑, 北 美 半 导 体 BB 值 最 近 两 月 均 低 于 1, 高 景 气 周 期 暂 告 一 段

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

目 录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片 2017 年正式量产, 具有明显战略意义 公司持续高研发投入, 连续三次承接 02 专项 课题

目 录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片 2017 年正式量产, 具有明显战略意义 公司持续高研发投入, 连续三次承接 02 专项 课题 化工行业 深度研究 公司研究 推荐 ( 首次 ) 风险评级 : 一般风险 2017 年 2 月 28 日投资要点 : 李隆海 SAC 执业证书编号 : S0340510120006 电话 :0769-22119462 邮箱 :LLH@dgzq.com.cn 主要数据 2017 年 2 月 28 日 收盘价 ( 元 ) 34.42 总市值 ( 亿元 ) 66.69 总股本 ( 亿股 ) 193.77

More information

目 录 一 军 工 行 情 回 顾... 3 1.1 中 航 军 工 指 数 与 上 证 综 指 深 证 成 指 沪 深 300 指 数 对 比... 3 1.2 本 期 军 工 个 股 表 现... 4 二 本 周 新 闻 动 态... 4 三 重 要 公 告... 7 四 核 心 观 点...

目 录 一 军 工 行 情 回 顾... 3 1.1 中 航 军 工 指 数 与 上 证 综 指 深 证 成 指 沪 深 300 指 数 对 比... 3 1.2 本 期 军 工 个 股 表 现... 4 二 本 周 新 闻 动 态... 4 三 重 要 公 告... 7 四 核 心 观 点... 中 航 证 券 金 融 研 究 所 分 析 师 : 李 欣 证 券 执 业 证 书 号 :S0640515070001 研 究 助 理 : 艾 芳 证 券 执 业 证 书 号 :S0640116030008 电 话 :010-64818451 邮 箱 :18611213495@163.com 研 究 助 理 : 王 茂 森 证 券 执 业 证 书 号 :S0640116050023 行 业 投 资

More information

打造中国管理软件产业链 ERP普及产业联盟 推动ERP在中国的普及应用 经销合作伙伴 技术平台合作伙伴 富士通 寰球科技 TALENT armitage 科迪奈特 西软科技 SHCS Hinge 日立 中铁信息工程集团 Intel SUN SteelEye HP IBM Microsoft Leno

打造中国管理软件产业链 ERP普及产业联盟 推动ERP在中国的普及应用 经销合作伙伴 技术平台合作伙伴 富士通 寰球科技 TALENT armitage 科迪奈特 西软科技 SHCS Hinge 日立 中铁信息工程集团 Intel SUN SteelEye HP IBM Microsoft Leno UAP 200663 打造中国管理软件产业链 ERP普及产业联盟 推动ERP在中国的普及应用 经销合作伙伴 技术平台合作伙伴 富士通 寰球科技 TALENT armitage 科迪奈特 西软科技 SHCS Hinge 日立 中铁信息工程集团 Intel SUN SteelEye HP IBM Microsoft Lenovo 产品合作伙伴 腾讯 人民时空 SHARP 得安科技 ORIENT 梦龙科技

More information

中 国 证 券 监 督 管 理 委 员 会 : 根 据 贵 会 2015 年 12 月 9 日 签 发 的 中 国 证 监 会 行 政 许 可 项 目 审 查 一 次 反 馈 意 见 通 知 书 (153304 号 )( 以 下 简 称 反 馈 意 见 ) 的 要 求, 无 锡 市 太 极 实 业

中 国 证 券 监 督 管 理 委 员 会 : 根 据 贵 会 2015 年 12 月 9 日 签 发 的 中 国 证 监 会 行 政 许 可 项 目 审 查 一 次 反 馈 意 见 通 知 书 (153304 号 )( 以 下 简 称 反 馈 意 见 ) 的 要 求, 无 锡 市 太 极 实 业 股 票 代 码 :600667 股 票 简 称 : 太 极 实 业 债 券 代 码 :122306 122347 债 券 简 称 :13 太 极 01 13 太 极 02 无 锡 市 太 极 实 业 股 份 有 限 公 司 关 于 发 行 股 份 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 申 请 文 件 一 次 反 馈 意 见 之 回 复 独 立 财 务 顾 问 二 〇 一 六

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 玻璃基板业务放量助力公司业绩增长 东旭光电 (000413) 调研快报 关注 1: 玻璃基板业务持续发力 公司玻璃基板业务自 2014 年起开始大规模放量, 不仅营业收入实 现高速增长, 而且产品毛利率也保持在很高水平, 成为公司主要业 绩增长点之一 自去年完成三条 6 代线量产后, 公司募投的其他产线建设也在有序进行, 其中第四和第五条产线已经点火, 第六条产

More information

一 2015 年 度 较 上 年 业 绩 比 较 及 差 异 说 明 ( 一 )2015 年 度 业 绩 总 体 情 况 青 山 纸 业 2015 年 度 主 要 经 营 数 据 以 及 较 上 年 业 绩 变 动 对 比 如 下 : 项 目 2015 年 度 2014 年 度 较 上 期 增 长

一 2015 年 度 较 上 年 业 绩 比 较 及 差 异 说 明 ( 一 )2015 年 度 业 绩 总 体 情 况 青 山 纸 业 2015 年 度 主 要 经 营 数 据 以 及 较 上 年 业 绩 变 动 对 比 如 下 : 项 目 2015 年 度 2014 年 度 较 上 期 增 长 兴 业 证 券 股 份 有 限 公 司 关 于 福 建 省 青 山 纸 业 股 份 有 限 公 司 2015 年 非 公 开 发 行 股 票 会 后 重 大 事 项 的 核 查 意 见 福 建 省 青 山 纸 业 股 份 有 限 公 司 ( 以 下 简 称 青 山 纸 业 发 行 人 或 公 司 ) 2015 年 非 公 开 发 行 股 票 申 请 已 于 2016 年 1 月 6 日 经 中 国

More information

EPS/ PE A 2013A 2014E 2015E 2012A 2013A 2014E 2015E SH A SZ

EPS/ PE A 2013A 2014E 2015E 2012A 2013A 2014E 2015E SH A SZ 2014 12 9 40% 20% 0% 300-20% Dec-13 Mar-14 Jun-14 Sep-14 2013.10.14 2013.12.9 2014.04.22 2014.5.29 2014.06.19 2014.06.25 S1060513050003 0755-22622625 xuwen007@pingan.com.cn S1060114080032 0755-22628888-101020

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

反 馈 问 题 1 请 申 请 人 对 比 同 行 业 上 市 公 司 资 产 负 债 率 有 息 负 债 率 等 指 标, 分 析 说 明 本 次 偿 还 银 行 借 款 的 必 要 性 和 合 理 性 其 中, 部 分 拟 偿 还 的 银 行 借 款 为 流 动 资 金 借 款, 请 说 明 通

反 馈 问 题 1 请 申 请 人 对 比 同 行 业 上 市 公 司 资 产 负 债 率 有 息 负 债 率 等 指 标, 分 析 说 明 本 次 偿 还 银 行 借 款 的 必 要 性 和 合 理 性 其 中, 部 分 拟 偿 还 的 银 行 借 款 为 流 动 资 金 借 款, 请 说 明 通 广 晟 有 色 金 属 股 份 有 限 公 司 关 于 非 公 开 发 行 股 票 申 请 文 件 二 次 反 馈 意 见 的 回 复 ( 修 订 稿 ) 中 国 证 券 监 督 管 理 委 员 会 : 根 据 贵 会 2016 年 5 月 10 日 出 具 的 中 国 证 监 会 行 政 许 可 项 目 审 查 二 次 反 馈 意 见 通 知 书 (160246 号 ) ( 以 下 简 称 二 次

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

备注说明:每日新增重点股票是由行业专职分析师基于行业和公司基本面分析优选出来可以现价附近买入或增持的股票;重点行业重点股票是由行业专职分析师推荐的该行业现阶段最具上涨潜力的股票,按重要性排序并动态调整;每日重要市场信息点评是对可能影响市场及股价表现的重

备注说明:每日新增重点股票是由行业专职分析师基于行业和公司基本面分析优选出来可以现价附近买入或增持的股票;重点行业重点股票是由行业专职分析师推荐的该行业现阶段最具上涨潜力的股票,按重要性排序并动态调整;每日重要市场信息点评是对可能影响市场及股价表现的重 映 日 荷 花 别 样 红 ---A 股 8 月 市 场 策 略 专 业 专 心 专 为 您 2014 年 7 月 28 日 财 富 管 理 部 投 资 策 略 小 组 报 告 要 点 : 7 月 : 东 边 日 出 西 边 雨 7 月 市 场 呈 现 明 显 的 大 小 盘 结 构 分 化, 主 板 市 场 在 经 济 数 据 持 续 企 稳 央 企 改 革 试 点 推 进 沪 港 通 开 通 预

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

Microsoft Word - 20151116_玉山投顧_台股產業週報

Microsoft Word - 20151116_玉山投顧_台股產業週報 台 股 產 業 週 報 產 業 總 結...01 產 業 概 況 與 個 股 分 析 IC 設 計 晶 圓 代 工 及 通 路 IC 封 測....05 手 機 相 關 網 路 通 訊 生 技...09 TFT 面 板 面 板 零 組 件 PCB 記 憶 體...15 NB 相 關 工 業 電 腦 電 源 供 應 器 安 控...19 太 陽 能 LED 塑 膠 原 料 紡 織 橡 膠 輪 胎...24

More information

公司研究 上海新阳 (300236)2 1. 上海新阳 国内半导体材料的领头羊 上海新阳半导体材料股份有限公司是一家专业从事半导体行业所需电子化学品的研发 生产和销售服务, 同时开发配套的专用设备, 致力于为客户提供化学材料 配套设备 应用工艺 现场服务一体化的整体解决方案的企业 图 1 公司理念营

公司研究 上海新阳 (300236)2 1. 上海新阳 国内半导体材料的领头羊 上海新阳半导体材料股份有限公司是一家专业从事半导体行业所需电子化学品的研发 生产和销售服务, 同时开发配套的专用设备, 致力于为客户提供化学材料 配套设备 应用工艺 现场服务一体化的整体解决方案的企业 图 1 公司理念营 [Table_MainInfo] 公司研究 / 化工 / 基础化工材料制品 上海新阳 (300236) 公司跟踪报告 证券研究报告 2016 年 11 月 23 日 [Table_InvestInfo] 投资评级买入维持 股票数据 6 [Table_StockInfo] 个月内目标价 ( 元 ) 51.84 11 月 23 日收盘价 ( 元 ) 44.18 52 周股价波动 ( 元 ) 22.00-57.33

More information

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1 化工行业 深度研究 公司研究 证券研究报告 推荐 ( 维持 ) 风险评级 : 中风险 2018 年 2 月 26 日投资要点 : 李隆海 SAC 执业证书编号 : S0340510120006 电话 :0769-22119462 邮箱 :LLH@dgzq.com.cn 主要数据 2018 年 2 月 26 日 收盘价 ( 元 ) 27.94 总市值 ( 亿元 ) 54.14 总股本 ( 亿股 ) 193.77

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

公司研究 3 募集资金还将用于创新 IT 系统建设 东兴证券股份有限公司证券研究报告 包括加大信息技术系统软硬件投入, 不断完善信息技术基础设施建设 ; 加快建设创新业务信息技术系统, 不 断完善以财富管理平台 金融服务终端为核心的客户服务平台 ; 加强对移动互联网 云计算 大数据技术的 研究与运用

公司研究 3 募集资金还将用于创新 IT 系统建设 东兴证券股份有限公司证券研究报告 包括加大信息技术系统软硬件投入, 不断完善信息技术基础设施建设 ; 加快建设创新业务信息技术系统, 不 断完善以财富管理平台 金融服务终端为核心的客户服务平台 ; 加强对移动互联网 云计算 大数据技术的 研究与运用 公司研究 东兴证券股份有限公司证券研究报告 三年定增正式启动, 大股东参与彰显对未来信心 国元证券 (000728) 事件点评 事件 : 2016 年 7 月 7 日晚间, 国元证券发布非公开发行 A 股预案, 公司 非公开发行股票不超过 294,,220,000 股, 募集资金总额不超过 42.87 亿元, 发行价格为 14.57 元 / 股, 发行对象为公司控股股东国元集团 建安集团 粤高速 铁路基金

More information

S S S ROE..

S S S ROE.. 2010 09 10 (600704.SH) 18.93 A ( ) 374.75 ( ) 8,313.59 ( ) 28.69/13.50 300 2903.19 2638.80 27.24 22.24 17.24 12.24 090910 091209 100309 1,200 100602 100826 1., 2010.8.17 2. 2010.8.17 3.,2010.7.8 800 600

More information

行业研究报告_有重点公司

行业研究报告_有重点公司 证券研究报告 行业研究 / 深度研究 2016 年 08 月 11 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 信维通信 (300136): 夯实射频主业, 新产品 / 材料加速布局 2016.08 2 长盈精密 (300115):

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

<4D F736F F D20B5E7C1A6C9E8B1B8D0D0D2B CFC2B0EBC4EACDB6D7CAB2DFC2D4A3BAB5E7CDF8CDB6D7CACDACB1C8D7AAD5FDA3ACB5E7C1A6C9E8B1B8B3A4C6DAC2F2B5E3B5BDC0B42D E646F63>

<4D F736F F D20B5E7C1A6C9E8B1B8D0D0D2B CFC2B0EBC4EACDB6D7CAB2DFC2D4A3BAB5E7CDF8CDB6D7CACDACB1C8D7AAD5FDA3ACB5E7C1A6C9E8B1B8B3A4C6DAC2F2B5E3B5BDC0B42D E646F63> 2011 2011 6 22 2011 7.51%1-5 4 2011 2004 2011 1000 110kv / 33% 23% 12% 1% -10% -21% -32% 10-6-22 10-9-18 10-12-15 11-3-13 11-6-9 S0550511010003 TEL: (8621)6336 7000-315 FAX: (8621)6337 3209 Email: yangjl@nesc.cn

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 砥砺前行, 开启国产离子注入机新篇章 曾晓斌 北京中科信 2018 年 03 月 16 日 目 录 一 新形势下离子注入机发展需求二 国产离子注入机发展现状三 新一代中束流离子注入机四 发展规划 1 集成电路产业作为国民经济和社会发展的战略性 基础性 先导性产 业, 关乎国家核心竞争力和国家安全 集成电路装备作为构筑集成电路产业的基石, 是核心竞争力的重要组 成部分 中国集成电路产业进入新时代 习近平中国特色社会主义新时代,

More information

件 驱 动 属 短 线 操 作, 操 作 风 险 相 对 较 大, 建 议 快 进 快 出, 不 可 恋 战! 昨 日 盘 面 中 表 现 活 跃 的 品 种 多 为 低 价 股, 钢 铁 煤 炭 成 为 涨 幅 居 前 的 品 种, 有 以 下 几 点 值 得 关 注 : 首 先 从 行 业 看,

件 驱 动 属 短 线 操 作, 操 作 风 险 相 对 较 大, 建 议 快 进 快 出, 不 可 恋 战! 昨 日 盘 面 中 表 现 活 跃 的 品 种 多 为 低 价 股, 钢 铁 煤 炭 成 为 涨 幅 居 前 的 品 种, 有 以 下 几 点 值 得 关 注 : 首 先 从 行 业 看, 2016 年 01 月 07 日 一 风 行 视 点 1. 市 场 研 判 昨 日 盘 面 中 热 点 转 换 非 常 明 显 滞 涨 低 价 成 为 资 金 短 期 追 逐 重 点 市 场 风 格 的 转 换 说 明 资 金 偏 于 谨 慎, 同 时 热 点 的 快 速 轮 动 也 说 明 反 弹 以 存 量 资 金 为 主 预 计 短 期 市 场 仍 将 缺 乏 赚 钱 效 应, 投 资 者 应

More information

目 录 一 军 工 行 情 回 顾 中 航 军 工 指 数 与 上 证 综 指 深 证 成 指 沪 深 300 指 数 对 比 本 期 军 工 个 股 表 现... 4 二 本 周 新 闻 动 态... 4 三 重 要 公 告... 4 四 核 心 观 点...

目 录 一 军 工 行 情 回 顾 中 航 军 工 指 数 与 上 证 综 指 深 证 成 指 沪 深 300 指 数 对 比 本 期 军 工 个 股 表 现... 4 二 本 周 新 闻 动 态... 4 三 重 要 公 告... 4 四 核 心 观 点... 中 航 证 券 金 融 研 究 所 分 析 师 : 李 欣 证 券 执 业 证 书 号 :S0640515070001 研 究 助 理 : 王 茂 森 证 券 执 业 证 书 号 :S0640116050023 电 话 :010-64818451 邮 箱 :wangms9@139.com 军 工 行 业 动 态 周 报 : 天 通 一 号 01 星 发 射 升 空 卫 星 移 动 通 信 产 业 爆

More information

华天科技 (2185)214 年报点评 一 214 年业绩大幅增加, 盈利能力提升显著 公司发布 214 年年度报告, 报告期内实现营业收入 33.5 亿元, 同比增长 35.7%, 净利 润 3.6 亿元, 同比增长 52.37%, 其中归属于上市公司股东的净利润 2.98 亿元, 同比增长 49

华天科技 (2185)214 年报点评 一 214 年业绩大幅增加, 盈利能力提升显著 公司发布 214 年年度报告, 报告期内实现营业收入 33.5 亿元, 同比增长 35.7%, 净利 润 3.6 亿元, 同比增长 52.37%, 其中归属于上市公司股东的净利润 2.98 亿元, 同比增长 49 14-3 14-5 14-7 14-9 14-11 15-1 15-3 点评报告 甘肃上市公司研究系列 报告日期 :215 年 3 月 2 日 产能释放以及行业进入顺风期, 业绩增长持续 华天科技 (2185)214 年报点评 52 周内股价走势图 1.% 8.% 6.% 4.% 2.%.% -2.% 投资要点 : 214 年业绩大幅增加, 盈利能力提升显著 公司发布 214 年年度报告, 报告期内实现营业收入

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 建筑主业下滑趋缓, 职教业务整合初见成效 洪涛股份 (002325)2016 年财报点评 2017 年 5 月 2 日推荐 / 维持洪涛股份财报点评 姓名分析师 : 杨若木执业证书编号 :S1480510120014 Email: yangrm@dxzq.net.cn 姓名分析师 : 洪一执业证书编号 :S1480516110001

More information

中国与欧洲关系 年

中国与欧洲关系 年 中国与欧洲关系 年 周 弘 本文全面回顾了中国与欧洲共同体 欧洲联盟及其成员国 年至 年间政治和经济关系的发展历程 分析了当前中欧关系取得的进展和存在的问题 作者认为 年来中国与欧洲关系的发展变化见证并体现了世界格局的演变以及中国和欧洲这两大很不相同的世界力量自身的发展变化 中欧之间不断深入和拓展的交往使双方都从中获益 而这种交往所创造出来的体制机制和方式方法也堪为人先 中欧关系能否在第二个 年中顺利发展

More information

新时代证券有限责任公司

新时代证券有限责任公司 资 讯 荟 萃 新 时 代 证 券 Newtimes Securities 2014 年 07 月 21 日 第 467 期 融 资 融 券 日 报 新 时 代 证 券 研 究 发 展 中 心 研 究 发 展 中 心 主 编 : 冯 文 锁 证 书 :S0280510120004 电 话 :010-83561319 邮 件 :fengwensuo@xsdzq.cn 联 系 人 : 谷 正 兵 电 话

More information

中小市值研究

中小市值研究 2017 年 01 月 11 日中小市值研究评级 : 推荐 ( 维持 ) 研究所 证券分析师 : 代鹏举 S0350512040001 021-68591581 daipj@ghzq.com.cn 联系人 : 姚哲巍 S0350116080001 18221921135 yaozw@ghzq.com.cn 中国半导体产业迈入发展的新阶段 半导体行业专题报告 最近一年行业走势 投资要点 : 30.00%

More information

从 成 交 价 折 价 率 来 看, 折 价 率 从 高 至 低 前 五 只 个 股 依 次 为 : 华 灿 光 电 (15.7%) 辉 丰 股 份 (14.27%) 乔 治 白 (13.52%) 鸿 利 光 电 (12.69%) 东 方 银 星 (9.94%) 周 二 沪 深 两 市 净 流 出

从 成 交 价 折 价 率 来 看, 折 价 率 从 高 至 低 前 五 只 个 股 依 次 为 : 华 灿 光 电 (15.7%) 辉 丰 股 份 (14.27%) 乔 治 白 (13.52%) 鸿 利 光 电 (12.69%) 东 方 银 星 (9.94%) 周 二 沪 深 两 市 净 流 出 星 期 三 总 第 247 期 建 信 期 货 量 化 分 析 日 报 内 容 摘 要 A 股 冲 高 回 落 放 量 微 跌 创 业 板 指 下 挫 近 3% 11 月 17 日, 两 融 达 到 11977.34 亿 元, 比 上 一 交 易 日 增 加 了 1.5% 周 二 A 股 共 有 24 只 股 票 发 生 33 笔 大 宗 交 易 周 二 沪 深 两 市 净 流 出 资 金 611.67

More information

正文目录 一 化合物半导体市场需求大, 通讯 军工等特种应用广阔, 国产化势在必行 GaAs: 受益于 4G 通讯崛起, 成智能终端最紧缺芯片 GaN: 性能最好的半导体材料, 军工领域应用看好 SiC: 另一值得关注的化合物半导体, 功率半导体空间大

正文目录 一 化合物半导体市场需求大, 通讯 军工等特种应用广阔, 国产化势在必行 GaAs: 受益于 4G 通讯崛起, 成智能终端最紧缺芯片 GaN: 性能最好的半导体材料, 军工领域应用看好 SiC: 另一值得关注的化合物半导体, 功率半导体空间大 (%) 证券研究报告 公司深度报告 信息技术 电子元器件 强烈推荐 -A( 维持 ) 三安光电 600703.SH 目标估值 :35-40 元 当前股价 :18.04 元 2016 年 03 月 14 日 基础数据 上证综指 2810 总股本 ( 万股 ) 254902 已上市流通股 ( 万股 ) 237038 总市值 ( 亿元 ) 460 流通市值 ( 亿元 ) 428 每股净资产 (MRQ) 4.7

More information

( ) A 1, [][] 6,500 [2009]

( ) A 1, [][] 6,500 [2009] 1 66 4 1-1-1 ( ) A 1,656 1 2009 [][] 6,500 [2009]94 2009 11 16 1-1-2 1-1-3 1 [2009]94 [2009]223 A 1,656 10% 165.60 2. 4,844 1,656 A 6,500 [2009]94 3 2008 2008 2009 2009 6 30 18,885.28 4 (1) 1-1-4 8 12

More information

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了 2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了富士通 日立 东芝 NEC 等世界顶级的集成电路 制造商 ;2) 第二次 :20 世纪 80 年代中后期,

More information

年中国大陆预计新增 12 寸产能 89.5 万片 / 月, 是现有产能的 288% 其中 大陆产商, 武汉新芯 长江存储 合肥长鑫 晋华集成 中芯国际等合计产能是 75.5 万片 / 月, 占比 年新增产能的 84.3%

年中国大陆预计新增 12 寸产能 89.5 万片 / 月, 是现有产能的 288% 其中 大陆产商, 武汉新芯 长江存储 合肥长鑫 晋华集成 中芯国际等合计产能是 75.5 万片 / 月, 占比 年新增产能的 84.3% 随笔 2: 中国半导体产业的思考 : 涨价谁最受益? 谁受 损? 科技真相 科技红利及方向型资产研究 关于中国半导体产业的发展, 我们的独立研究的思考一直都未停止, 在此我们和大家分享我们的理解, 关于涨价谁会受益? 谁会受损? 中国大陆新增 12 寸晶圆产能的扩张刺激硅片需求和供给 2016-2017 年剪刀差的持续扩张, 半导体硅片涨价对半导体晶圆的价格传导, 引发行业晶圆产能降阶抢夺, 我们本节分析了半导体硅片涨价受益品种的路径传导图,

More information

<4D F736F F D DBAA3D0C5B5E7C6F7C9EEB6C8D1D0BEBFB1A8B8E6A3BACADCD2E6BCBCCAF5BACDD3A6D3C3B8EFD0C2C7FDB6AFCFC2B5C4D0D0D2B5D5FBBACF2D E646F63>

<4D F736F F D DBAA3D0C5B5E7C6F7C9EEB6C8D1D0BEBFB1A8B8E6A3BACADCD2E6BCBCCAF5BACDD3A6D3C3B8EFD0C2C7FDB6AFCFC2B5C4D0D0D2B5D5FBBACF2D E646F63> (600060) / 2011 11 3 CRT 2011 2012 2013 EPS 1.36 1.57 1.79 PE 10 8 7 ( ) (%) ( ) (%) EPS ( ) ROE (%) ( ) 2010 21,264 15.52 835 67.58 0.96 14.72 13.6 2011E 23,284 9.5 1,184 41.85 1.36 18.25 9.6 2012E 26,474

More information

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需 第三章 中国集成电路产业发展现状 第一节整体状况 2006 年, 中国集成电路产业持续高速发展, 规模首次突破千亿元大关, 达到 1006.3 亿元, 同比增长达到 43.3% ; 从增长速度上看,2006 年集成电路产业市场规模与总产量的同比增幅与 2005 年相比, 均有较大幅度的提高 2006 年, 中国半导体产业 IC 设计 制造和封测三业同步快速发展, 其中 IC 设计业发展更为 迅速,

More information