目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

Size: px
Start display at page:

Download "目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半"

Transcription

1 218 年 4 月 1 日中小盘研究 半导体研究系列之二 ( 设备 ): 星星之火, 燎原之势渐起 中小盘伐谋主题伐谋 - 中小盘主题报告 孙金钜 ( 分析师 ) 吴吉森 ( 联系人 ) sunjinju@xsdzq.cn 证书编号 :S wujisen@xsdzq.cn 国内半导体迎来新投资周期, 半导体设备市场持续向好 : 当前我国集成电路产品对外依存度较高, 国产芯片自主创新与进口替代 势在必行 政府在政策 资金 税收等各方面给予大力支持, 中国集成电路 行业正在迎来新一轮的投资周期 根据 Semi 数据, 预计 217 年到 22 年 期间, 中国大陆将有 26 座新晶圆厂投产, 成为全球新建晶圆厂最积极的地 区, 整个投资计划占全球新建晶圆厂高达 42%, 成为全球新建投资最大的地 区 根据我们统计数据显示, 年中国已经公布的半导体产线投资 金额将超过 1 亿美元, 按照行业规律, 在总投资中设备投资占 8, 可 以估算出晶圆制造设备投资额为 8 亿美元 在晶圆厂设备构成中, 光刻机 占比最大, 占 39% 份额, 市场空间为 312 美元 ; 其次是沉积设备, 占比为 24%, 市场空间为 192 亿美元 ; 刻蚀设备第三, 占比为 14%, 市场空间为 112 亿美元 ; 材料制备设备占比 8%, 市场空间为 64 亿美元 全球半导体设备处于寡头垄断格局, 国内半导体设备是产业薄弱环节 : 半导体设备是半导体产业价值链顶端的 皇冠, 是半导体产业发展的 基础 当前国际半导体设备产业处于寡头垄断格局, 美国 日本 荷兰是半 导体设备最具竞争力的 3 个国家 整体而言, 中国半导体设备虽然具备了一 定的基础, 但是技术实力与国外相比仍然存在较大的差距, 我国企业半导体 设备环节非常薄弱, 即使在相对发展水平较高的 IC 封装测试领域, 我国与 国际先进水平相比仍然存在较大差距 推荐 ( 维持评级 ) 行业指数走势图 24% 18% 12% 6% -6% -12% -18% 217/4 217/7 217/9 217/12 218/3 相关报告 半导体沪深 3 半导体研究系列之一 : 大国重器, 进击的农后中国半导体产业 农奈 农奈 半导体设备国产化是趋势, 星星之火燎原之势渐起 : 在所有设备中, 最核心 技术壁垒最高的是光刻机, 国内在光刻机方面技术最先进的是上海微电子, 已经研制成功 9nm 光刻机 ; 在刻蚀设备 沉积设备方面, 国内企业中微半导体 北方华创具备较强的竞争力, 中微以介质刻蚀机为突破口, 目前台积电 联电都已经成为公司的客户, 北方华创已经承担了国家 2 专项的诸多研发项目, 尤其是关于 12 英寸晶圆制造的刻蚀机 PVD 立式氧化炉 清洗机 LPCVD 等设备, 已经批量进入了国内主流集成电路生产线 ; 在长晶炉方面, 国内企业晶盛机电具备较强的竞争力, 公司是国内首家唯一自主研制成功全套单晶炉的供应商 ; 在测试设备方面, 国内企业长川科技在测试机和分选机方面具备一定的竞争力, 已获得长电科技 华天科技 通富微电 日月光等多个一流集成电路企业的使用和认可, 此外, 精测电子携手 IT&T 切入半导体检测领域, 未来有望在半导体检测领域打开新局面 我们认为国内部分半导体设备企业在各自细分领域已经具备了一定的竞争力, 半导体设备国产化是大势所趋, 星星之火, 必成燎原之势 受益标的 : 精测电子 北方华创 长川科技 晶盛机电风险提示 : 国内半导体产线投资力度和进度不及预期 ; 国内半导体设备研发进度不及预期 敬请参阅最后一页免责声明 -1- 证券研究报告

2 目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半导体设备行业差距仍然明显 日本半导体设备企业技术领先, 市场份额高 半导体上游设备国产率低, 差距仍然较大 半导体上游设备 : 路漫漫其修远兮, 国产化任重道远 单晶硅片制造设备 : 单晶硅片需求大, 制造设备工艺需大力提升 前道设备 : 晶圆制造关键之所在, 国内设备厂商局部有一定竞争力 后道设备 : 国产替代突破口, 封测设备具备较强竞争力 受益标的 精测电子 (3567): 面板检测高速增长, 半导体检测振翅欲飞 北方华创 (2371): 国内半导体设备龙头 长川科技 (364): 国内领先的半导体测试设备供应商 晶盛机电 (3316): 国内硅生产设备龙头, 半导体设备大有可为 风险提示 敬请参阅最后一页免责声明 -2- 证券研究报告

3 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 1.1 中国 IC 市场是全球第一大市场中国 IC 市场快速增长 自 2 年以来, 全球半导体市场稳步增长, 其中, 中国半导体市场增长尤为显著, 在世界市场上的份额也日益提高 根据中国半导体行业观察数据,216 年全球半导体市场总额高达 353 亿美元, 到 22 年达到 434 亿美元, 年复合增长率为 5.3%, 其中, 中国半导体市场总额从 2 年 17 亿美元, 增加到 216 年的 16 亿美元, 年复合增长率 45.3, 预计到 22 年达到 22 亿美元,4 年复合增长率为 6% 整体而言, 中国半导体市场快速增长, 占全球比例有望不断提高 图 1: 中国 IC 市场占据全球近半壁江山 中国销量 ( 十亿美元 ) 世界其他 ( 十亿美元 ) 中国占比 % 45% 45% 45% 46% 46% 47% 45% 39% 4 34% 32% 34% 35% % 27% 28% 29% % 22% % 17% % % 5% 资料来源 : 半导体行业观察 新时代证券研究所中国 IC 市场占据全球近半壁江山 : 按地区市场份额来看, 根据半导体行业观察统计数据,2 年全球半导体按地区市场占比最大的地区是美国, 占比 28%, 其次为亚太地区 ( 除中国 ), 占比 25%, 中国占比仅为 7%;21 年, 中国成为全球半导体按地区市场占比最大的地区, 占比 33%, 其次为亚太地区 ( 除中国 ), 占比 26%, 美国市场份额下降到 15%;216 年, 中国占全球半导市场份额进一步加大, 占比提升至 45%, 其次为亚太地区 ( 除中国 ), 占比下降至 19%, 美国市场份额进一步下降到 13%; 预计到 22 年, 中国占全球半导市场份额将进一步提升至 47%, 其次为亚太地区 ( 除中国 ), 占比下降至 17%, 美国市场份额有所提升, 占比为 14% 敬请参阅最后一页免责声明 -3- 证券研究报告

4 图 2: 2 年中国在全球半导体市场占比为 7% 图 3: 21 年中国在全球半导体市场占比为 33% 中国日本 APAC( 除中国 ) 美国 EMEA 中国日本 APAC( 除中国 ) 美国 EMEA 21% 7% 19% 15% 15% 33% 28% 25% 26% 11% 资料来源 : 半导体行业观察 新时代证券研究所 资料来源 : 半导体行业观察 新时代证券研究所 图 4: 216 年中国在全球半导体市场占比为 45% 图 5: 预计 22 年中国在全球半导体市场占比为 47% 中国日本 APAC( 除中国 ) 美国 EMEA 中国日本 APAC( 除中国 ) 美国 EMEA 15% 13% 13% 45% 14% 47% 19% 17% 1 9% 资料来源 : 半导体行业观察 新时代证券研究所 资料来源 : 半导体行业观察 新时代证券研究所 1.2 半导体设备种类繁多 市场广阔, 国产替代空间巨大全球半导体资本支出持续增长 根据 Gartner 统计数据,216 年全球半导体资本支出增长 5.1%, 达到 亿美元, 预计 217 年全球半导体资本支出达到 亿美元, 增长 2.9%; 到 22 年全球资本支出有望达到 758 亿美元, 年年复合增长率为 2.8% 图 6: 217 全球半导体设备支出创历史新高 全球半导体资本支出 ( 亿美元 ) 增长率 % % 5.1% % 6% 2.5% 4% 2.9% % % -2% -4% E 218E 219E 22E 资料来源 :Gartner 新时代证券研究所 217 年全球半导体设备市场创历史新高 根据 Semi 公布的年终预测数据,217 敬请参阅最后一页免责声明 -4- 证券研究报告

5 年全球半导体制造设备销售额将增长 35.6%, 达到 559 亿美元, 首次超过了 2 年市场高点 477 亿美元 预计 218 年全球半导体设备市场的销售额将增长 7.5%, 达到 61 亿美元 217 年, 韩国将首次成为最大的设备市场 根据 Semi 预测数据, 韩国将超过连续五年排名榜首的中国台湾地区排名第一, 中国台湾地区第二, 中国大陆第三 除了世界其他地区 ( 主要是东南亚 ), 所有地区都有增长 韩国将以 132.6% 的速度增长, 其次是欧洲的增长率 57.2%, 日本的增长率为 29.9% 218 年中国半导体设备市场有望超过中国台湾地区成为全球第二大市场 根据 Semi 预测数据,218 年中国的设备销售增长率将最高, 为 49.3%, 达到 113 亿美元,217 年的增长率为 17.5%, 218 年, 韩国 中国和中国台湾地区地区预计将保持前三的市场排名, 韩国将以 169 亿美元保持在榜首 预计中国将以 113 亿美元成为世界第二大市场, 而中国台湾地区地区的设备销售额将接近 113 亿美元 图 7: 218 年中国半导体设备市场有望超过中国台湾地区成为全球第二大市场 China Europe Japan Korea North America SEA/ROW Taiwan region of China 7 十亿美元 F 218F 资料来源 :Semi 新时代证券研究所 半导体各设备种类市场空间占比 在整个半导体设备市场中, 晶圆制造设备大约占整体的 8, 封装及组装设备大约占 7%, 测试设备大约占 9%, 其他设备大约占 4% 根据 Semi 预测数据,217 年晶圆加工设备将增加 37.5%, 达到 45 亿美元 ; 封装设备部分将增长 25.8%, 至 38 亿美元, 而半导体测试设备预计今年将增长 22%, 达到 45 亿美元 ; 其他前端设备 ( 其他前端包括掩模 / 掩模版制造, 晶片制造和晶圆厂设备 ), 预计将增加 45.8% 至 26 亿美元 敬请参阅最后一页免责声明 -5- 证券研究报告

6 图 8: 年全球半导体各类设备销售额情况 ( 亿美元 ) 212 年销售额 213 年销售额 214 年销售额 215 年销售额 216 年销售额 晶圆制造封装及组装测试其他 17 资料来源 :Semi 新时代证券研究所 由于国内多条生产线处于建设过程中,216 年中国集成电路设备市场增长 32%, 达到 64.6 亿美元 整体而言, 我国集成电路设备产业规模体量还相对较小, 尤其是一些关键技术设备仍未攻克, 还处于发展阶段 目前, 我国的集成电路设备大都依赖进口 国产替代空间巨大 我们认为在新一轮集成电路制造业向中国大陆转移过程中, 未来几年将是中国半导体设备产业的发展机遇期 目前半导体设备产业主要集中在美国 日本等少数国家, 集成电路设备国产替代空间巨大, 国内半导体设备厂家具有很大的上升空间 图 9: 216 年中国台湾地区是集成电路投资最大区域 图 1: 中国半导体设备市场规模持续增长 欧洲 5.3% 中国大陆 15.7% 韩国 18.7% 其余 8.6% 北美 1.9% 日本 11.2% 中国台湾地区 29.7% 中国半导体设备市场规模 ( 亿美元 ) % 29% 32% % % % % 49% 资料来源 :Semi 新时代证券研究所 资料来源 :Semi 新时代证券研究所 1.3 国内半导体迎来新投资周期, 中国半导体设备行业持续向好国内集成电路行业迎来新投资周期 中国是全球电子产品制造大国和消费大国, 对集成电路产品需求很大, 当前我国集成电路产品对外依存度较高, 国产芯片自主创新与进口替代势在必行 随着国内对集成电路产品的不断增长以及国产芯片替代进口策略的不断推进, 加之, 中国政府在政策 资金 税收等各方面的大力支持, 我国集成电路行业将迎来新一轮的投资周期 中国将成为集成电路新增投资最大的区域 根据 Semi 调查数据, 预估 217 敬请参阅最后一页免责声明 -6- 证券研究报告

7 年到 22 年期间, 中国大陆将有 26 座新晶圆厂投产, 成为全球新建晶圆厂最积极 的地区, 整个投资计划占全球新建晶圆厂高达 42%, 成为全球新建投资最大的地区 包括外资和存储器在内, 目前中国 12 英寸晶圆厂共有 22 座, 其中在建 11 座, 规 划中 1 座 ;8 英寸晶圆厂 18 座, 其中在建 5 座 表 1: 国内已经公布的半导体产线投资额超过 1 亿美元 序号 公司 地点 月产能 技术节点 投资总额 开建时间 投产时间 备注 1 台积电 南京 2K 16nm 3 亿美元 216H1 218H2 代工 2 联电 UMC 厦门 5K 55/4nm 62 亿美元 215Q1 217H1 代工,5 年内建成 3 晶合 合肥 4K 9nm 亿元 215Q4 217H2 代工 LCD 驱动 IC 4 中芯国际 北京 B2 36K 28nm 35.9 亿美元 212Q3 215Q4 代工, 持续扩产 5 中芯国际 北京 B3 35K 14nm 36 亿美元 215Q4 218H2 代工 6 中芯国际 上海 5K 14/1nm 675 亿元 216Q4 219H1 代工 7 中芯国际 深圳 4K 6-28nm TBD 216Q4 217H2 代工 8 中芯国际 天津 1K 8 寸 TBD 216H2 218H1 代工, 扩产 9 华力微 上海 4K 28/14nm 387 亿元 216Q4 218H2 代工 1 长江存储 武汉 3K 4-2nm 24 亿美元 216Q1 218Q1 3D NAND 11 西安三星 西安 5K 2-1nm 7 亿美元 212Q3 214Q2 NAND, 持续扩产 12 美国 AOS 重庆 5K 12 寸 1 亿美元 216Q1 217H2 功率半导体 13 德科码 淮安 4/2K 8/12 寸 25 亿美元 216Q1 217H2 图像传感器 14 英特尔 大连 5K 1 Xpoint 55 亿美元 NA 216Q4 存储器 15 紫光国芯 北京 NA NA 6 亿元 NA NA 存储器 16 长鑫 合肥 125K 25/2 494 亿元 216Q4 218H2 DRAM 存储器 17 晋华 泉州 6K 32-2nm 56.6 亿美元 216Q3 218H2 存储器 18 格罗方德 成都 2/65K 18/13 1 亿美元 NA NA 代工 19 华力集成电路 上海 4K 28/2/14 62 亿美元 NA NA 代工 数据来源 : 北方华创 新时代证券研究所 国内集成电路专用设备投资数据测算 我们对国内已经公布的半导体产线投资 计划做了详细的梳理, 已经公布的半导体产线投资金额将超过 1 亿美元 按照 行业规律, 在总投资中 8 用于设备投资, 从而可计算出设备投资额为 8 亿美元 这些产线要在 22 年之前投产, 根据我们测算的数据, 三年的国内晶圆 厂设备投资额为 8 亿美元 图 11: 晶圆厂设备构成中光刻设备占 39% 45% 4 35% 3 25% 2 15% 1 5% 39% 14% 7% 8% 6% 8% 1% 2% 2% 2% CVD MCVD ECD PVD lithogray Dry Etch Wet Etch SP CMP Implant 11% 沉积设备 光刻设备 刻蚀 表面处理材料制备 设备 安装 其他 资料来源 : 半导体行业观察 IDC 新时代证券研究所 敬请参阅最后一页免责声明 -7- 证券研究报告

8 晶圆厂各类设备市场空间测算 在晶圆厂设备构成中, 光刻机占比最大, 占 39% 份额, 其次是沉积设备, 占比为 24%, 刻蚀设备第三, 占比为 14%, 材料制备 占比 8%, 表面处理设备和安装设备分别占比 2%, 其他设备占比 11% 据此我们 可以计算出, 年国内集成电路光刻设备市场空间为 312 亿美元, 沉积设 备市场空间为 192 亿美元, 刻蚀设备市场空间为 112 亿美元, 材料制备设备市场空 间为 64 亿美元 表 2: 中国现有 11 条 12 寸和 18 条 8 寸晶圆制造产线 晶圆 序号 公司 工厂代码 工艺 设计产能 (KW/M) 1 SK 海力士 HC1 2nm CMOS 1 2 SK 海力士 HC2 2nm CMOS 7 3 英特尔 FAB 68 65~9nm CMOS 4 4 三星电子 FAB x1 46~25nm CMOS 12 5 联芯集成 FAB 12X 55~4nm CMOS 5 12 英寸 6 华力微 FAB 1 9~45nm CMOS 35 7 武汉新芯 FAB 1 9~65nm CMOS 25 8 中芯国际 FAB B1(FAB 4) 9~55nm CMOS 45 9 中芯国际 FAB B1(FAB 6) 9~55nm CMOS 45 1 中芯国际 FAB B2A 45~28nm CMOS 中芯国际 FAB S2(B).35μm~.28nm CMOS 2 1 德州仪器 CFAB.35~.18μm Analog 5 2 中车时代 FAB 3.35μm CMOS 1 3 台积电 FAB 1.25~.13μm CMOS 1 4 和舰科技 FAB 1P1.5~.13μm CMOS 65 5 和舰科技 FAB 1P2.5~.13μm CMOS 65 6 中航微电子 FAB 1.35~.18μm CMOS 4 7 华虹宏力 FAB 1 1.~.13μm CMOS 华虹宏力 FAB 1C MEMS 英寸 9 华虹宏力 FAB 2.35μm CMOS 华虹宏力 FAB 3.25μm~9nm CMOS 华润上华 FAB 2.5~.13μm CMOS 6 12 上海先进 FAB 3.25μm CMOS 中芯国际 FAB 15.35~.15μm CMOS 2 14 中芯国际 FAB 7.35~.15μm CMOS 中芯国际 FAB S1(FAB 1).35μm~.11nm CMOS 1 16 中芯国际 FAB S1(FAB 2).35μm~.11nm CMOS 1 17 中芯国际 FAB S1(FAB 3).35μm~.11nm CMOS 1 18 中芯国际 FAB 9.18~.35μm MEMS 3 数据来源 : 芯思想 新时代证券研究所 敬请参阅最后一页免责声明 -8- 证券研究报告

9 2 中国 VS 日本 : 国内半导体设备行业差距仍然明显 2.1 日本半导体设备企业技术领先, 市场份额高全球半导体设备制造业处于寡头垄断格局 半导体生产设备是半导体产业发展的基础, 也是半导体产业价值链顶端的 皇冠 当前的国际半导体设备产业处于寡头垄断格局, 美国 日本 荷兰是半导体设备最具竞争力的 3 个国家 从半导体设备细分领域来看, 日本企业在具有非常强的竞争力, 市场份额超过 5 的半导体设备种类日本就有 1 种之多 日本企业垄断半导体设备技术与市场, 占全球半导体设备总体市场份额高达 37% 在电子束描画设备 涂布/ 显影设备 清洗设备 氧化炉 减压 CVD 设备等重要前端设备 以划片机为代表的重要后道封装设备和以探针器为代表的重要测试设备环节, 日本企业处于垄断地位, 竞争力非常强 表 3: 日本半导体设备具有很强竞争力半导体生产设备世界市场 ( 百万美元 ) 日本份额 (%) 曝光设备 电子束描画设备 涂布 / 显影设备 干法刻蚀设备 清洗设备 氧化炉 前端半导体设备 中电流离子注入设备 减压 CVD 设备 等离子 CVD 设备 1424 金属 CVD 设备 溅射设备 CMP 设备 Cu 电镀设备 289 总计 中间掩膜检测设备 前端检测设备 晶圆检测设备 总计 划片机 粘片机 后端半导体设备 丝焊器 成型器 总计 逻辑测试器 内存测试器 后端检测设备 混合信号测试器 探针器 处理器 总计 数据来源 : 半导体行业联盟 新时代证券研究所 敬请参阅最后一页免责声明 -9- 证券研究报告

10 2.2 半导体上游设备国产率低, 差距仍然较大上游设备是中国半导体产业的薄弱环节 半导体工艺流程主要分为前道工艺和后道工艺流程, 关键设备基本由美国 日本企业所垄断 整体而言, 中国半导体设备虽然具备了一定的基础, 但是技术实力与国外相比仍然存在较大的差距, 我国企业半导体设备环节非常薄弱, 即使在相对发展水平较高的 IC 封装测试领域, 我国与先进国际水平相比仍然存在较大差距 尤其是单晶炉 氧化炉 CVD 设备 磁控溅射镀膜设备 CMP 设备 光刻机 涂布 / 显影设备 ICP 等离子体刻蚀系统 探针台等设备市场几乎被国外企业所占据 图 12: 集成电路制造各工艺流程设备 生产商情况 资料来源 : 新时代证券研究所半导体设备制造业门槛高, 国内企业与国际知名半导体设备制造企业实力相差悬殊 日本企业在晶圆清洗设备 切割机 研磨机 晶圆检测设备 单晶炉 CVD 设备 涂布显影设备 光刻机 刻蚀设备 IC 测试设备等产品中具有国际竞争优势 ; 美国企业在单晶炉 气相外延炉 分子束外延系统 氧化炉 CVD 设备 磁控溅射镀膜设备 CMP 抛光机 ICP 等离子体刻蚀系统 (ICP) 刻蚀设备 离子注入机 IC 封装设备等产品中具有国际竞争优势 ; 荷兰企业 ASML 阿斯麦在高端光刻机 外延反应器等产品中具有国际竞争优势 相比之下, 国内企业仅在 PECVD 敬请参阅最后一页免责声明 -1- 证券研究报告

11 氧化炉等产品中取得技术突破, 在其他半导体设备制造领域的国产率极低, 尚不具备自主研发并投入于工业生产的能力 中国集成电路设备产业自给率偏低 受益于 2 专项多年的支持和国家大基金的牵引, 国内在集成电路制造的投入不断加大, 设备制造能力有所改善 根据中国电子专用设备工业协会统计数据,215 年, 国产集成电路设备产业销售收入达 亿元, 增长 16.4%, 主要来自薄膜制造设备 刻蚀设备 离子注入设备以及封装设备的增长 考虑到 215 年中国集成电路市场规模为 49 亿美元, 我们可以计算出 215 年中国集成电路设备产业自给率在 15% 左右 根据 Semi 预测数据,217 和 218 年中国集成电路市场规模将分别达到 75.9 亿美元和 亿美元, 中国集成电路设备产业自给率将会更低 表 4: 国内部分半导体设备厂商技术节点半导体设备部分中国厂商 ( 技术节点 ) 刻蚀机中微半导体 (16/14nm) 北方华创(28nm) 光刻机上海微装 (9nm) 薄膜设备 中微半导体 北方华创 (28nm) 沈阳拓荆 (4nm) 测量设备 CMP 设备长晶炉数据来源 :Trendforce 新时代证券研究所 睿励科学仪器 (28nm) 华海清科 盛美半导体 晶盛机电 全球半导体设备制造业处于寡头垄断竞争格局, 中国企业无一席之地 根据 Semi 相关统计数据,214 年全球半导体设备销售额为 亿美元, 前十大销售商的市占率之和高达 93.6%, 其中日本和美国企业占主导, 分别在前十销售商中占据五席和四席 美国应用材料公司以 79.4 亿美元的销售额和 21.2% 的市占率居首位 ; 荷兰阿斯麦公司紧随其后, 销售额高达 75.5 亿美元, 市占率 2.1%; 日本东京电子公司销售额为 55.4 亿美元, 市占率 14.8%, 位居第三 表 5: 216 年全球半导体设备销售前十厂商 排名 公司 收入 ( 亿美元 ) 市占率 1 应用材料 % 2 阿斯麦 % 3 东京电子 % 4 泛林半导体 % 5 KLA-Tencor % 6 DNS 迪恩士 % 7 爱德万测试 % 8 泰瑞达 % 9 日立高科 % 1 尼康 % 总计 资料来源 :Semi 新时代证券研究所 表 6: 216 年中国半导体设备销售前十厂商 排名 公司名称 设备营收 ( 亿元 ) 1 中电科电子装备 晶盛机电 深圳捷佳 北方华创 中微半导体 上海微电子 京运通 天通吉成 盛美半导体 深圳格兰达智能 1.5 总计 资料来源 : 中国电子专用设备工业协会 新时代证券研究所 敬请参阅最后一页免责声明 -11- 证券研究报告

12 表 7: 国内外半导体设备制造商情况统计 类别 公司名称 地区 代表产品 Valtech Corp 美国 环氧胶粘剂 特种模塑聚合物和各种清洗剂 SCREEN Semiconductor 提供半导体晶圆设备, 包括洗净 蚀刻 显影 / 涂布设备等, 其中洗净设备日本 Solutions 于半导体业界具有极高之市占率 晶圆清洗 Dainippon Screen 提供晶圆清洗设备 ( 包括湿式洗涤设备, 旋转处理器, 净气器,CMP 后清 Manufacturing 大日本网日本洗设备, 聚合物移除设备等 ) 屏 北方华创 中国 提供多种类型的单片清洗设备和槽式清洗设备 中国台湾宜特科技切割机地区 提供一般晶圆切割 多芯片晶圆切割技术, 生产全自动晶圆切割机 Disco Corporation 日本 生产切割设计并开发激光切割 SiC 晶圆的新工艺 KABRA 研磨机 OKAMOTO 冈本机械日本生产全自动晶圆背面减薄机研磨机等 Disco Corporation 日本生产全系列研磨设备 (15mm 2mm 3mm) KLA-Tencor 科磊 美国 前段和后端缺陷检测设备 ( 如晶圆检测设备, 掩膜板检测设备, 元器件检测设备 ), 等离子刻蚀机, 掩膜板制造设备等 Tokyo Electron 东京电子 日本 生产晶圆测试设备 ( 主要为探针测试设备等 ) 晶圆检测 Dainippon Screen Manufacturing 大日本网日本提供晶圆检测和测量设备 ( 如光谱薄膜厚度测量设备等 ) 屏 Hitachi 生产计量与检测设备 ( 包括测长电子显微镜 晶圆表面检测设备 缺陷检测 High-Technologies 日本设备等 ) Corporation 日立高科 PVA TePla AG 德国 为半导体产业生产硅晶圆 提供常压等离子处理等 Gero 德国 制造真空炉和特殊气氛炉 高精度光学浮区法单晶炉 激光加热基座晶体生长炉 四电弧高温单晶生长 Quantum Design 美国 炉 高温高压光学浮区炉 高压氧气氛退火炉 无掩膜光刻机 MPCVD 设 备等 KAYEX 美国 全球最大 最先进硅单晶体生长炉制造商之一, 生产全自动硅单晶体生长炉 太阳能硅单晶生长炉等 单晶炉 GT Advanced Technologies 美国 生产 DSS 硅晶体铸造炉 HiCz 单晶炉等 Ferrotec 日本 生产销售石英产品 硅晶片等 晶盛机电 中国 国内技术领先的晶体硅生长设备供应商, 成功开发出拥有完全自主知识产权 的直拉式全自动晶体生长炉 铸锭多晶炉产品 天龙光电中国产品包括单晶硅生长炉 单晶硅切断机和单晶硅切方滚磨机等 气相外延炉 北京京运通 中国 单晶硅生长炉 多晶硅铸锭炉 区熔单晶炉等 CVD Equipment 美国 拥有氢化物气相外延系统 (HVPE Systems) Applied Materials 应用材料 美国 拥有 2mm 单晶气相外延沉积系统 第三代宽紧带半导体 SiC 材料的同质外延生长设备 离子注入机 扩散 / 氧中国电子科技集团第四中国化 / 退火设备 CVD 设备 PVD 设备 刻蚀设备 烧结热处理设备 激光应十八所用设备等 敬请参阅最后一页免责声明 -12- 证券研究报告

13 分子束外延系统氧化炉低压化学气相淀积系统 (LPCVD) 等离子体增强化学气相淀积系统 (PECVD) CVD Omicron 德国 超高真空分子束外延生长及分析系统 (MBE) 变温扫描隧道显微镜- 分子束外延联合系统等 MBE-Komponenten 德国 专业分子束外延设备制造商, 生产各种分子束外延成套系统与部件 Riber 法国 世界上最早的 MBE 生产系统, 目前主要拥有三大全自动 MBE 系统 (MBE 49 MBE 6 MBE 7) DCA Instruments 芬兰 专业从事分子束外延 (MBE) 和超高真空薄膜沉积系统及组件设计与制造, 包括 S8 2 mm MBE P1 4 x 4" MBE P6 3 x 2" MBE 等各类型号 Veeco 美国 金属有机化学气相沉积 (MOCVD) 系统 分子束外延 (MBE) 系统 功率半导体等 SVT Associates 美国 世界顶级 MBE 生产厂家, 包括系列的分子束外延系统 MBE 激光分子束外延系统 / 脉冲激光沉积系统 (L-MBE/PLD) 原子层沉积系统(ALD) 等 沈阳中科仪器 中国 生产 L 型 MEB-4 型分子束外延生长设备等 Centrotherm thermal solutions GmbH Co.KG 德国 生产扩散炉 氧化炉 LPCVD 炉 SIC 设备等 Air Products 美国 供应制氮机 制氧机 空气分离设备 BTU International 美国 提供针对硅材料的热处理设备 完整半导体产业链设备供应, 包括涂布 / 显像设备 热处理成膜设备 干法 Tokyo Electron 东京电日本刻蚀设备 化学气相沉积设备 湿法清洗设备, 测试设备及液晶显示器设备子等 Thermco 英国 生产卧式扩散炉 氧化炉 退火炉 合金化炉 LPCVD 炉等 北方华创 中国 立式炉 卧式炉设备达到国内半导体设备的领先水平, 成为了主流厂商扩散氧化炉设备的首选 Hitachi 日本日立 日本 生产单片式等离子氮化 氧化设备 MARORA, 支持 15 毫米立式氧化 扩散 /LPCVD 设备等 前后端设备生产制造商, 其中前端设备包括外延反应器, 垂直扩散炉, ASML 阿斯麦 荷兰 PECVD 反应器, 集束型设备, 原子层沉积设备, 等离子体增强原子层沉积 (PEALD) 设备等 Proto Flex 美国 薄膜电池溅射设备 原子气相沉积设备 等离子体化学气相沉积设备 Lam Research 泛林半导薄膜沉积设备 ( 包括 PECVD HDPCVD MCVD ECD PVD 设备 ), 以美国体及针对薄膜沉积后处理的 UVTP 设备 光致抗蚀设备等 Tokki 日本 生产等离子体增强化学气相沉积 (PECVD) 设备等 Shimadzu 岛津 日本 生产平板式等离子体增强化学气相沉积 (PECVD) 设备 Novellus Systems 诺发化学气相沉积 (CVD) 物理气相沉积(PVD) 电化沉积(ECD) 化学机美国系统半导体械研磨 (CMP) 紫外热处理(UVTP) 和表面处理设备等世界 5 强企业 全球最大的半导体生产器材制造商, 生产半导体圆片的原 Applied Materials 应用子层沉积 (ALD) 设备, 化学气相沉积 (CVD) 设备, 电化学沉积 (ECD) 美国材料设备 物理气相沉积 (PVD 设备 ) 半导体薄片装配 蚀刻及离子植入设备等完整半导体产业链设备供应, 包括涂布 / 显像设备 热处理成膜设备 干法 Tokyo Electron 东京电日本刻蚀设备 化学气相沉积设备 湿法清洗设备, 测试设备及液晶显示器设备子等 Oxford Instruments 牛津英国生产等离子 原子层沉积和离子束, 超导磁体及线材等仪器北方华创中国开发生产 LPCVD APCVD ALD 等设备 敬请参阅最后一页免责声明 -13- 证券研究报告

14 Cemecon 德国拥有 CC8 /9 涂层设备, 以及 PVD 溅射技术和金刚石涂层的整条生产线 磁控溅射镀膜设备化学机械抛光机 (CMP) Hauzer 荷兰 PVD 和等离子体辅助 CVD 涂层技术开发商, 生产溅射镀膜设备 PVD 美国 设计生产基于激光脉冲沉积 磁控溅射 蒸发物理气相沉积 (PVD) 的薄膜沉积系统 相关组件以及 PECVD 系统 Vaportek 美国 生产磁控溅射镀膜机 Platit 瑞士 采用矩形大面积平面靶阴极电弧涂层技术, 拥有旋转靶 π8 涂层设备等各类涂层设备 Teer 英国 批量生产闭合场非平衡磁控溅射专利镀膜设备 Nordiko 英国 全球首个专业实验室溅射设备提供应商 Applied Materials 应用生产化学机械抛光 (CMP) 表面处理(SP) 设备 计量和晶圆检测设备 美国材料掩膜板制造设备等 Novellus Systems 诺发系统半导体 美国 提供化学机械研磨 (CMP) 紫外热处理(UVTP) 和表面处理设备等 Rtec Instruments 美国 拥有台式 R-C 化学机械抛光设备 (CMP), 可模仿大 CMP 系统 兰州兰新高科技产业股 份有限公司 中国 研磨机 双面抛光机 单面减薄设备 切割设备 倒角设备等 光刻机涂布 / 显影设备 ICP 等离子体刻蚀系统 (ICP) 阿斯麦 (ASML) 荷兰 高端光刻机 ( 如沉浸式光刻机 极紫外线光刻机 (EUV)) 尼康 日本 高端光刻机 ( 如沉浸式光刻机 ) 佳能 日本 高端光刻机 上海微电子 中国 生产供应 6 系列 5 系列 3 系列 2 系列光刻机, 边缘曝光机, 精密温度控制器 SCREEN Semiconductor Solutions 日本 半导体晶圆设备, 包含洗净 蚀刻 显影 / 涂布等制程用途 Shin-Etsu Silicone 信越化工 日本 制造销售电子输入设备 显示相关设备和组件相关产品 Tokyo Electron 东京电涂布 / 显像设备 热处理成膜设备 干法刻蚀设备 化学气相沉积设备 湿日本子法清洗设备, 测试设备及液晶显示器设备等 Solid State Equipment 封装与半导体设备制造 高亮度 LED 和硬盘驱动器的单芯片湿式加工系统美国 Corporation 领先供应商 Oxford Instruments 牛津仪器 英国 生产等离子 原子层沉积和离子束, 超导磁体及线材等 Quorum Technologies 英国 生产磁控离子溅射仪 等离子清洁刻蚀灰化仪 Torr 美国 电子束蒸发, 磁控溅射,RIE 等离子刻蚀机, 离子辅助沉积, 电子束热蒸发磁控刻蚀多功能联合系统等 LEEMAN 利曼 美国 生产等离子体光谱发射仪 KLA-Tencor 科磊 美国 生产等离子刻蚀机等 Tokyo Electron 东京电热处理成膜设备, 等离子刻蚀机, 单晶圆沉积设备, 表面处理 ( 主要指清洗 ) 日本子设备, 涂胶机 / 显影机等 北方华创 中国 生产等离子蚀刻设备, 应用于集成电路领域的硅刻蚀机已突破 14nm 技术 中微半导体 中国 生产 3 毫米甚高频去耦合反应离子刻蚀设备 8 英寸硅通孔 (TSV) 刻蚀设备 Primo TSV2E 等 刻蚀设备 Nordiko 英国 全球首个专业实验室溅射设备提供应商, 提供离子束蚀刻和沉积技术, 拥有专利离子源和离子加速器技术 敬请参阅最后一页免责声明 -14- 证券研究报告

15 Poco Graphite 步高石墨美国提供半导体应用石墨材料, 如蚀刻室部件 DFP-1/DFP-2/DFP-1C( 铜浸渍 ) Mattson Hermes-Epitek Corp. 汉民科技 Advantest Corp 爱德万测试 Hitachi High-Technologies Corporation 日立高新 SCREEN Semiconductor Solutions 美国中国台湾地区日本日本日本 提供干燥剂 快速热加工 蚀刻设备, 其蚀刻产品包括 paradigme 和 Alpine 系统, 运用专有的法拉第屏蔽 ICP 等离子体源并结合蚀刻偏臵控制, 以改进晶圆性能提供刻蚀设备与技术 CMP 设备 离子注入设备 晶圆封测技术等提供电子束蚀刻系统干法刻蚀设备 ( 包括硅刻蚀机 氧化层刻蚀机 非挥发性材料刻蚀机 ), 计量与检测设备 ( 包括测长电子显微镜 晶圆表面检测设备 缺陷检测设备等 开发高精度清除附着于晶圆斜面 ( 端面及其邻接倾斜部分 ) 的金属膜的蚀刻清洗技术 Bevel Etching Chamber(BEC) 离子注入机 (IBI) IC 封装划片机粘片机丝焊机成型机 Virian Semiconductor Equipment 美国 高速离子注入机 ( 如 Solion 系列产品 ) Applied Materials 应用材料 美国 刻蚀机 快速热处理设备 离子注入机等 BTU International 美国 参与晶圆和模具级别的封装, 产品可用于连接与密封集成电路 Solid State Equipment 封装与半导体设备制造 高亮度 LED 和硬盘驱动器的单芯片湿式加工系统美国 Corporation 领先供应商 Allied High Tech 美国 为半导体公司的切片 安装 抛光 清洁 硬度测试 故障分析 提供显微镜和图像分析的耗材 上海新阳电子化学有限专业研发 生产 制造半导体行业后封装行业及电子电镀行业所需电子化学中国公司品及配套设备 Disco Corporation 日本 生产切割设计并开发激光切割 SiC 晶圆的新工艺 KABRA Tokyo Seimitsu 东京精密 日本 半导体制造加工和检验设备的制造和销售, 如晶圆探测机和晶圆切片机等 ASM Pacific 荷兰 ASM International 的子公司, 全球半导体和发光二极管组装及封装设备供应商, 生产制造晶圆切割机 封装机 粘片机 丝焊机等 佳能电子 日本 生产制造半导体粘片机 在电子 移动互联网 计算机 汽车 工业 RFID LED 和太阳能在内的 BE Semiconductor 荷兰 广泛终端用户市场上开发领先的组装流程和设备, 用于引线框架, 基板和晶 圆级封装应用 ASM Pacific 荷兰 ASM International 的子公司, 全球半导体和发光二极管组装及封装设备供应商, 生产制造晶圆切割机 封装机 粘片机 丝焊机等 Kulicke&Soffa 美国 提供设备连线生产和销售线球焊线机 重型线楔形粘合机 晶圆级键合机和模具 其他电子产品粘合机 等 Shinkawa 新川 日本 从事接合设备的研究, 并制造和销售如线焊机, 芯片焊接机, 倒装芯片焊接机和其他半导体制造设备 TOWA 日本 制造和销售半导体制造的精密模具 成型设备和切割设备, 以及细塑料模具板块制造和销售医疗器械的零部件等 Dai-ichi Seiko 第一精工 日本 半导体设备板块从事半导体树脂密封设备和模具, 以及注塑成型外围设备制造和销售, 包括光发光二极管 (LED) 光导板片卡门加工设备 BE Semiconductor 荷兰 在电子 移动互联网 计算机 汽车 工业 RFID LED 和太阳能在内的广泛终端用户市场上开发领先的组装流程和设备, 用于引线框架, 基板和晶 敬请参阅最后一页免责声明 -15- 证券研究报告

16 圆级封装应用 ASM Pacific 荷兰 ASM International 的子公司, 全球半导体和发光二极管组装及封装设备供应商, 生产晶圆切割机 封装机 粘片机 丝焊机等 切割机 Disco Corporation 日本 生产制造切割锯 激光锯 磨床 抛光机 干蚀刻机 表面刨床 切割刀片 砂轮和干抛光轮等, 设计开发开发激光切割 SiC 晶圆的新工艺 KABRA Hanmi Semiconductor 韩美半导体 韩国 生产制造半导体设备, 包括锯切和放臵系统 凸轮压边装饰 / 成型 / 分割系统 拾取和放臵系统 半导体成型产品和设备用品等 IC 测试分类 Advantest 爱德万测试 日本 提供半导体和组件测试系统 机提供分立器件测试系统 热电阻测试仪 感性负载测试, 动态测试系统, Tesec 日本 IPD/IPM 测试系统, 内部门电阻测试仪等 半导体行业提供自动化测试设备,X 平台提供标准产品应用及应用集成电 Xcerra Corporation 美国 路 混合信函及射频应用优化配臵测试,ASL 平台提供先行 低端混合信函 模拟精度及电源管理设备 测试机半导体测试设备 ( 如 FLEX 系列测试系统, 这是目前世界上最高效的多位臵 Teradyne 泰瑞达美国测试平台 ) Advantest Corp 爱德万测试 日本 提供集成电路测试系统 分选机 Advantest Corp 爱德万测试 日本 提供集成电路测试系统, 生产制造分选机等 探针台 Tokyo Electron 东京电子 日本 生产晶圆测试设备 ( 主要为探针测试设备等 ) 数据来源 : 新时代证券研究所 敬请参阅最后一页免责声明 -16- 证券研究报告

17 3 半导体上游设备 : 路漫漫其修远兮, 国产化任重道远 3.1 单晶硅片制造设备 : 单晶硅片需求大, 制造设备工艺需大力提升单晶炉在硅片制造过程中发挥着最为重要的作用, 其中拉晶过程主要在单晶炉内进行, 单晶炉可提供惰性气体 ( 氮气 氦气为主 ) 的制备环境, 通过石墨加热器将多晶硅等多晶材料熔化, 用直拉法 垂直提拉法等多种方法生长单晶体 国际上主要的单晶炉制造商包括德国的 PVA TePla AG 和 Gero 公司 日本的 Ferrotec 公司 美国的 QUANTUM DESIGN KAYEX 和 GT Advanced Technologies 公司 国内以晶盛机电 天龙光电 北京京运通 七星电子为代表的单晶炉生产商已经达到国际先进水平, 其中晶盛机电已成功研制出具有完全自主知识产权的全自动单晶炉, 可生产 12-6 英寸 /18 英寸的单晶硅片, 并且实现量产 图 13: 单晶硅片制造工艺流程复杂 资料来源 : 新材料在线 新时代证券研究所 PVA TEPLA AG(TPE.F): Pva Tepla AG 是德国的一家专门为高温和等离子体处理过程提供相关工业化工艺和设备的公司, 在晶体生长设备环节, 公司是世界上唯一能提供所有硅晶体生长方式的生产系统供应商 Pva Tepla AG 公司的 CGS EKZ SO 27 是运用柴式晶体生长法 (Czochralski) 生产单晶硅的设备, 可实现 8 英寸硅晶圆的批量生产 ;EKZ 3/45 拉晶设备高达 14 米, 为 Siltronic AG 生产提供 12 英寸硅圆片 Kronos 是垂直布里奇曼法 (Vertical Gradient Freeze Method) 的拉晶设备 ;SR 11 设备非常高效, 可同时进行两个单晶硅棒的生产, 一组源棒可以产出 12 到 5 个单晶硅棒不等 敬请参阅最后一页免责声明 -17- 证券研究报告

18 图 14: PVA TEPLA AG 公司拉晶设备 CGS EKZ SO 27 CGS EKZ 3/45 Kronos SR11 资料来源 : 公司官网 新时代证券研究所 Ferrotec(689.T):Ferrotec 公司主要生产 6 英寸以下的小口径半导体硅片的单晶拉晶炉, 并对晶圆进行加工, 为双极集成电路 分离式半导体 MEMS 的提供单晶硅片 公司同时研发生产高温熔解原料的石墨加热器, 以及作为容器的单晶用石英坩埚, 单晶组整体件已达成世界级的高转换效率 晶盛机电 (3316.SZ): 晶盛机电公司是国内技术领先的晶体硅生长设备供应商, 拥有自主品牌的晶体硅生长设备及其控制系统的研发 制造和销售系统 公司是国内首家唯一自主研制成功全套单晶炉的供应商, 唯一具备提供三项设备的供应商, 具有完全自主知识产权, 制定了半导体行业标准 晶盛机电公司研发生产的区熔硅单晶炉 FZ1A-ZJS 的硅晶圆片制程为 6~1 英寸, 被选入 8 英寸区熔硅单晶炉国产设备研制 课题, 被评选为国家重大科技专项之一 公司的全自动晶体生长炉 TDR13A-ZJS 可生产大直径为 12~18 英寸的单晶硅棒, 并实现工业化量产 图 15: 晶盛机电长晶炉设备图例 区熔硅单晶炉 FZ1A-ZJS 资料来源 : 晶盛机电 新时代证券研究所 全自动晶体生长炉 TDR13A-ZJS 切割机 : 切割机主要用于单晶硅棒的切割, 形成薄单晶硅片 日本的 Disco Corporation 公司生产全自动和激光切割机, 并设计开发激光切割 SiC 晶圆的新工艺 KABRA, 中国台湾地区的宜特科技公司也生产全自动晶圆切割机 国内的天龙光电公司也拥有生产切割机的自主知识产权, 其 HQP225 数控多线切片机适用于单 敬请参阅最后一页免责声明 -18- 证券研究报告

19 晶硅与多晶硅的切片加工, 适合大批量生产 研磨机 : 通过研磨能除去切片和轮磨所造的锯痕及表面损伤层, 有效改善单晶硅片的曲度 平坦度与平行度, 达到一个抛光过程可以处理的规格 国际主要的硅片研磨机制造商包括日本的 Disco Corporation 和 OKAMOTO 冈本机械 抛光机 : 通过机械研磨和化学液体溶解 腐蚀 的综合作用, 对被研磨体 ( 半导体 ) 进行研磨抛光 国际主要的抛光机制造商包括美国的应用材料 诺发系统和 Rtec 公司 国内以兰州兰新和爱立特微电子公司为代表的抛光机 研磨机 倒角机 切割机等设备生产制造商, 已形成与国外同类设备抗衡的能力, 在国内外都处于领先地位 兰州兰新公司在双面四动精细研磨设备上已形成了 S 和 B 系列为主体的 14 个机型的生产配套能力, 开发了一系列单面抛光及减薄设备 双面抛光设备 四动双面抛光设备等 清洗设备 : 清洗设备在单晶硅片加工过程的每个环节几乎都会使用, 此处的清洗设备在抛光后的最终清洗阶段使用, 以清除晶片表面所有的污染源 国际主要的清洗设备供应商包括美国的 Valtech 公司 日本的 DNS 迪恩士和大日本网屏公司 国内北方华创企业依托 2 专利, 其研发生产的 12 英寸半导体清洗机累计流片量已突破 6 万片大关 3.2 前道设备 : 晶圆制造关键之所在, 国内设备厂商局部有一定竞争力光刻机 : 光刻机是芯片制造的核心设备之一, 按照用途可以分为好几种 : 有用于生产芯片的光刻机 ; 有用于封装的光刻机 ; 还有用于 LED 制造领域的投影光刻机 用于生产芯片的光刻机是中国在半导体设备制造上最大的短板, 国内晶圆厂所需的高端光刻机完全依赖进口 图 16: 光刻工艺过程繁多 资料来源 : 中国传感器交易网 新时代证券研究所光刻机通过在半导体基材上 ( 硅片 ) 表面匀胶, 将掩模版上的图形转移光刻胶上, 把器件或电路结构临时 复制 到硅片上 国际主要的光刻机供应商包括荷兰的阿斯麦 ASML 公司 日本的尼康和佳能公司 敬请参阅最后一页免责声明 -19- 证券研究报告

20 图 17: ASML TWINSCAN NXE:34B 图 18: ASML Twinscan 光刻机工作原理 资料来源 : 雷锋网 新时代证券研究所 资料来源 : 雷锋网 新时代证券研究所 光刻机是集成电路生产制造过程中最重要的设备, 国内晶圆厂所需要的光刻机全部依赖进口, 国内光刻机在技术制程上与国外先进水平存在巨大鸿沟 国内光刻机龙头企业上海微电子最先进的 SSA6/2 步进扫描光刻机, 只能满足前道 IC 制造 9nm 关键层和非关键层的制造需求, 完全不能与阿斯麦光刻机 7nm 和 5nm 的最小制程可比 由于受到 瓦森纳协议 的限制, 即 关于常规武器和两用物品及技术出口控制的瓦森纳安排, 国内晶圆厂只能购买阿斯麦中低端光刻机, 这对国内光刻机和集成电路研发制造产业造成非常不利的影响 阿斯麦 (ASML.O): 阿斯麦公司荷兰的一家世界先进的半导体设备制造供应商, 生产提供前后道设备, 包括光刻机 集束型设备 外延反应器 垂直扩散炉 PECVD 反应器 原子层沉积设备 等离子体增强原子层沉积 (PEALD) 设备等 阿斯麦是国际上最先进的高端半导体光刻机制造商 目前, 阿斯麦占据了光刻机市场 8 份额, 垄断了高端光刻机市场 全球只有阿斯麦能够生产 EUV 光刻机, 尼康和佳能也无法与之匹敌 Intel 台积电 三星用来加工 14/16nm 芯片的光刻机都是买自 ASML, 格罗方德 联电以及中芯国际等晶圆厂的光刻机主要也是来自 ASML 阿斯麦最新的 EUV NXE 34B 极紫外光刻机能支持 7nm 和 5 nm 芯片的批量生产, 使用 13.5nm EUV 光源, 光学系统的数值孔径 (NA) 为.33, 分辨率为 13nm, 而尼康最新的 ArF Immersion NSR-S631E 浸入式光刻机落后 EUV 极紫外光刻机整整一代, 使用 139nm 波长的 ArF 准分子激光,NA 为 1.35, 分辨率小于等于 38nm 从销售单价上看, 阿斯麦 EUV NXE 系列 34B 和 335B 销售单价超过 1 亿美元, ArF Immersion 售价大约在 7 万美元左右, 而尼康光刻机的单价只有阿斯麦光刻机价格的三分之一 涂布显影设备 : 涂布机针对晶圆表面的光阻, 采用旋转离心 (spin-coating) 的方式涂布, 为后续的曝光显影工作做准备 显影机提供封闭的显影工作腔, 感光部分的光刻胶在工作腔内溶除, 留下未感光的部分的胶膜, 从而显示光刻之后所需要的图形, 该设备同时也能实现显影工作腔的自动清洗 国际主要的涂布 / 显影设备制造商包括日本的迪恩士 信越化工和东京电子公司, 美国的 Solid State Equipment Corporation 公司 离子注入机 : 由于晶圆衬底是单晶硅, 单晶硅本身不具备导电性或导电性极弱, 因此离子注入机 (Ion Beam Implanting) 对晶圆表面区域掺入微量的不纯物质 ( 砷 硼 磷等 ), 以改变硅晶圆片的导电性与载流子浓度 国际主要的离子注入机制造商包括美国的 Virian Semiconductor Equipment 维利安半导体设备和应用材料公司 敬请参阅最后一页免责声明 -2- 证券研究报告

21 以中国电子科技集团第四十八所为代表的国内半导体供应商已研发生产出适用于不同需求的离子注入机, 拥有自主知识产权核心技术, 覆盖大部分金属离子注入, 工艺温度范围大 多工位 可靠性高, 国内离子注入机与国际先进水平已相差无几 刻蚀设备 : 通过运用物理的 化学的或同时使用物理的和化学的方法, 有选择地把没有被抗蚀剂掩蔽的那一部分薄膜层除去, 从而在薄膜上得到和抗蚀剂膜上完全一致的图形 刻蚀可分为湿法刻蚀和干法刻蚀, 后者更能满足芯片制造工艺的要求, 目前被广泛运用, 干法刻蚀中 ICP 等离子刻蚀技术 (Inductively Coupled Plasma Reactive Ion Etching System) 应用最广 ICP 等离子刻蚀主要通过离子束高速轰击以实现相应的物理和化学反应, 具有刻速快 选择比高 各向异性高 刻蚀损伤小 大面积均匀性好 刻蚀断面轮廓可控性高和刻蚀表面平整光滑 适合大面积基片刻蚀等一系列优点 日本日立高科公司主要供应干法刻蚀设备 ( 包括硅刻蚀机 氧化层刻蚀机 非挥发性材料刻蚀机 ),DNS 迪恩士公司开发高精度清除附着于晶圆斜面 ( 端面及其邻接倾斜部分 ) 的金属膜的蚀刻清洗技术 Bevel Etching Chamber (BEC), 爱德万测试公司主要提供电子束蚀刻系统 ; 英国的牛津仪器和 Quorum Technologies 公司, 美国的 Torr LEEMAN 和 KLA-Tencor 公司主要生产提供 ICP 等离子刻蚀系统 国内以中芯国际为代表的 Foundry 晶圆厂使用的是 7nm 等离子刻蚀机, 落后国际先进水平 2-3 个世代, 最先进的 ICP 刻蚀机仍然被国外公司垄断, 但国内设备制造商正在努力寻找突破口, 国内领导企业北方华创已自主研发出 14nm 等离子硅刻蚀机, 同时中微半导体将在今年年底将正式敲定 5nm 刻蚀机台 图 19: 北方华创 NMC612D 12 英寸 14nm 硅刻蚀机 资料来源 : 北方华创 新时代证券研究所外延工艺 : 外延 (Epitaxy) 是在单晶硅片衬底上生长出一层单晶膜的技术, 新生单晶膜以衬底硅为基础 按衬底单晶晶相延伸生长, 新生长的一层单晶硅被称为外延层 外延工艺可以分为气相外延 (VPE) 液相外延(LPE) 固相外延(SPE) 分子束外延 (MBE) 四大类别, 其中气相外延工艺在集成电路制造过程中较为常见, 分子束外延工艺可以生产超薄单晶硅外延层, 也被广泛应用于芯片制造 气相外延炉 : 为气相外延生长提供化学气相沉积的反应炉, 实现按衬底单晶晶相延伸生长的新生外延层 气相外延实际上是化学气相沉积 (CVD) 的一种特殊工艺, 特征在于其生长的单晶结构与原单晶硅片衬底晶相保持相互对应的关系 国际主要的气相外延炉制造商包括美国的 CVD Equipment 应用材料公司 以中国电子科技集团第四十八所为代表的国内企业大多生产制造液相外延炉, 而液相外延层表面外延形貌一般不如气相外延好 分子束外延系统 : 分子束外延系统 (Molecular Beam Epitaxy, MBE) 也生产按 敬请参阅最后一页免责声明 -21- 证券研究报告

22 衬底表面特定形貌生长的外延层, 通过精确控制束流强度, 调整膜层组分和掺杂浓度, 从而制备薄到几十个原子层的单晶薄膜, 以及交替生长不同组分 不同掺杂的薄膜而形成的超薄层量子显微结构材料 供给主要的分子束外延系统供应商包括美国的 Veeco 和 SVT Associates 公司 芬兰的 DCA Instruments 公司 法国的 Riber 公司 德国的 Omicron 和 MBE-Komponenten 公司, 国内主要供应商有沈阳中科仪器 但是, 国内制造商距国外先进设备还有很大的差距 以美国 Veeco 公司 GENxplor R&D MBE 系统为例, 该设备极限温度可达 185 以上, 而沈阳中科基片加热最高温度为 8 ±1 此外,Veeco GENxplor R&D 还拥有众多电子束来源 比普通 MBE 设备节省约 4 的空间等明显优势 图 2: Veeco GENxplor R&D 分子束外延系统 图 21: 沈阳中科 L 型 MEB-4 型分子束外延设备 资料来源 : 沈阳中科 新时代证券研究所 资料来源 : 沈阳中科 新时代证券研究所 氧化炉 : 氧化炉是前道环节中的不可缺少的重要生产设备, 用于半导体材料的高温氧化, 提供高效的氧化反应腔, 使得硅片表面在高温下与氧化剂发生反应, 生长一层二氧化硅膜 半导体氧化炉可分为传统卧式氧化炉和立式氧化炉, 立式氧化炉在生产性能 温度控制性能 成膜均匀性能 先进颗粒控制技术 工厂自动化接口等方面更加精确高效, 能够更好满足大尺寸硅片的生产要求 国际主要的氧化炉生产制造商包括德国的 Centrotherm thermal solutions GmbH Co.KG 公司 英国的 Thermco 公司 美国的 Air Products 和 BTU International 公司 日本的东京电子公司 经过多年的尝试与努力, 卧式氧化炉国产率已逐步提升, 基本实现国产替代, 接近国际先进水平, 但是在立式氧化炉环节, 国内研究起步晚 技术水平较落后, 总体而言, 目前国内企业与国际著名制造商在立式氧化炉设备上存在较大差距 国内最具代表性的氧化炉设备提供商就是北方华创, 北方华创立式氧化炉的出现, 打破了长久以来的国外垄断局面, 推动了国内半导体事业的蓬勃发展 CVD 设备 : 化学气相沉淀设备 (Chemical Vapour Deposition) 利用化学气相沉淀方式, 将气体引入反应室内生产固体产物, 并沉积在基片表面形成薄膜 在衬底表面发生化学反应生成半导体薄膜 CVD 设备可分为常压化学气相淀积 (APCVD) 低压化学气相淀积 (LPCVD) 和等离子体增强化学气相淀积 (PECVD) 设备, 三者化学反应方式不同, 其中 APCVD 设备在大气压下进行, 设备系统和原理极其简单, 只适用于较厚的介质沉积, 无法满足制造半导体薄膜材料的技术需求 ;LPCVD 设备 LPCVD 利用加热的方式产生低压环境, 而 PECVD 设备利用辉光放电的方式产生薄膜沉积 国际主要的 LPCVD 设备制造商包括日本日立国际电气公司, 主要的 PECVD 设备制造商包括荷兰的阿斯麦公司 美国的诺发系统半导体 应用材料 泛林半导体和 Proto Flex 公司 日本的 Tokki 和 Shimadzu 岛津公司等 国内, 能够生产满足 LPCVD 和 PECVD 技术要求的设备制造商屈指可数, 与国外企业相比仍 敬请参阅最后一页免责声明 -22- 证券研究报告

23 然存在较大技术差距 北方华创于 1976 年研制开发出国内第一台 LPCVD 设备, 目前是国内最成熟的 LPCVD 设备供应商, 其 LPCVD 设备已成功进入集成电路主流代工厂 PVD 溅射设备 : 物理气相沉积设备 (Physical Vapor Deposition,PVD) 在芯片制造过程中也有着举足轻重的地位, 主要利用物理现象进行薄膜沉积, 可分为真空蒸发镀膜 ( 电阻 / 感应 / 电子束 / 激光加热 ) 溅射镀膜( 直流 / 频射 / 磁控 / 离子束溅射 ) 离子镀膜 ( 直流电弧 / 空心阴极 / 等离子体离子镀 ) 三大部分, 集成电路制造过程中主要采用溅射设备与工艺 PVD 溅射设备主要用于金属材料制膜, 在 PVD 设备中用离子对靶材料进行轰击, 使得靶材中的金属原子以一定能量逸出, 从而在晶圆表面沉积, 溅镀形成金属薄膜 国际主要的 PVD 设备制造商包括美国的 PVD Vaportech 公司 应用材料公司, 荷兰的 Hauzer 公司 英国 Teer 公司 瑞士 Platit 和 Balzers 公司 德国的 Cemecon 公司 国内主要的 PVD 设备供应商包括北方华创 沈阳中科仪器 中国电子科技集团第四十八所等, 其中北方华创 28 纳米 Hardmask PVD Al-Pad PVD 设备已率先进入国际供应链体系 半导体设备国外主要供应商 : 应用材料 (AMAT.N): 应用材料 (Applied Materials) 成立于 1967 年, 是全球最大的半导体设备生产和服务提供商, 生产提供 Centura RP Epi 外延系统 (3mm 硅片 ) 离子注入系统 氧化 / 氮化系统 物理沉积 (PVD) 设备 化学沉积 (CVD) 设备 CMP 设备 刻蚀系统 清洗设备等 应用材料公司于 1984 年进入中国, 目前在上海 北京 天津 苏州 无锡等地有办事处或仓库, 在西安设有太阳能开发中心 根据其 216 财年年报提供的数据, 半导体部门 财年新订单金额分别为 亿 亿 亿, 其中 216 年增长 59%; 净销售额分别为 亿 亿 亿, 其中 216 年增长 64% 216 财年公司新订单实现全面性的增长, 主要得益于显示屏和半导体设备的需求量增加, 尤其是半导体部门的新订单, 继续占公司新订单的大部分, 占比高达 58.7% 半导体生产设备 B/B 值为 根据不同区域半导体设备销售情况, 财年中, 公司半导体部门在中国台湾地区销售占比最高, 历年销售额分别为 亿 亿 亿 亿美元, 占整体销售 37% 32% 32% 图 22: 217 年应用材料营业大幅增长 图 23: 217 年应用材料净利润大幅增长 营业总收入 ( 亿美元 ) 净利润 ( 亿美元 ) 资料来源 :wind, 新时代证券研究所 资料来源 :wind, 新时代证券研究所 敬请参阅最后一页免责声明 -23- 证券研究报告

24 图 24: 应用材料半导体部门占营收比例最高图 25: 应用材料半导体新订单中 Foundry 占比 46% 半导体部门 应用全球服务 Foundry Memory Logic and other 显示器及周边市场其他 % 13% 12% 35% 42% 53% % 34% 46% 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 泛林半导体 (LRCX.O): 泛林半导体 (Lam Research) 成立于 198 年, 为半导体产业提供晶圆制造设备和服务, 致力于生产 销售和维修制造集成电路时使用的半导体处理设备, 主要提供单晶圆薄膜沉积系统 等离子刻蚀系统和清洁系统与设备 在 CVD HDPCVD ECD 和 PVD 设备销售市场上, 公司主要的竞争对手是应用材料 ; 在 PECVD 市场上, 公司主要的同行业竞争者是应用材料 阿斯麦和 Wonik IPS; 在蚀刻设备销售市场上, 公司主要的竞争对手是东京电子和应用材料 ; 在单晶片清洗设备销售市场上, 公司主要的竞争对手是 DNS 迪恩士 东京电子和 Semes 公司 公司通过并购方式不断增强竞争优势 :212 年 6 月, 公司完成与 Novellus Systems 诺发系统合并 ;215 年 1 月 21 日, 公司宣布斥资 16 亿美元, 以现金加股票的方式收购同业竞争公司科磊半导体 (KLA-Tencor) 公司为全球著名的半导体制造商提供服务, 镁光科技 三星电子 SK 海力士等为公司的主要客户,216 财年的订单均占公司销售收入的 1 以上 财年中, 韩国半导体设备销售额位居第一, 占整体销售比例为 24% 和 27%; 中国台湾地区销售额高达 亿美元, 增长 34.5%, 反超韩国地区销售额跃居首位, 占比 25% 由于中国大陆半导体产业的快速发展, 216 财年, 中国大陆成为泛林半导体设备销售的第二大市场 图 26: 217 年泛林半导体营业收入快速增长 图 27: 217 年泛林半导体净利润大幅增长 营业总收入 ( 亿美元 ) 净利润 ( 亿美元 ) 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 敬请参阅最后一页免责声明 -24- 证券研究报告

25 阿斯麦 (ASML.O): 阿斯麦 (ASML Holding NV) 成立于 1984 年, 成立之初 使用的公司名称为 ASM Lithography Holding N.V.,21 年改为 ASML Holding NV, 主要设计 制造及销售半导体设备, 同时包括前道和后道半导体设备 公司设计生 产的前端设备产品主要包括外延反应器 垂直扩散炉 PECVD 反应器 集束型设 备 原子层沉积设备 等离子体增强原子层沉积 (PEALD) 设备等, 其中最关键 的核心技术与产品为高端光刻机和曝光机 阿斯麦研发生产的 TWINSCAN 系列光刻机是目前世界上精度最高 生产效率 最高 应用最为广泛的高端光刻机, 该机型的销售客户包括英特尔 三星电子 SK 海力士 台积电 联华电子 格罗方德等 目前适用于工业化量产的最先进的机型 为 TWINSCAN NXE:34B, 每小时生产不少于 125 片 (WPH)12 寸芯片, 运用 13.5nm EUV 极紫外光进行光刻, 属于 EUV( 极紫外线光刻 ) 光刻机, 可生产关键 技术节点为 7nm 和 5nm 的芯片 表 8: 阿斯麦光刻机系列众多系统 分辨率 波长 光源 镜口率 NA TWINSCAN DUV SYSTEMS TWINSCAN XT: 4L 35nm 365nm i-line TWINSCAN XT: 8K 12nm 248nm KrF TWINSCAN XT: 86L 11nm 248nm KrF TWINSCAN XT: 1XK 8nm 248nm KrF TWINSCAN XT: 146K 65nm 193nm ArF TWINSCAN XT: 19XX 浸入式 38nm 193nm ArF TWINSCAN NXT: 198Di 38nm 193nm ArF TWINSCAN NXT: 197Ci 38nm 193nm ArF TWINSCAN NXT: 1965Ci 38nm 193nm ArF TWINSCAN EUV SYSTEMS NXE: 33 22nm 13.5nm EUV.33 NXE: nm 13.5nm EUV.33 NXE: 34 13nm 13.5nm EUV.33 数据来源 :ASML 新时代证券研究所 TWINSCAN XT 浸入式光刻系统市场替代竞争加剧, 主要竞争公司包括尼康和 佳能 ; 公司与软件应用程序提供商之间也存在同业竞争, 如 KLA-Tencor 科磊公司 图 28: 阿斯麦历年营业收入稳定增长 图 29: 阿斯麦历年净利润情况稳定增长 营业总收入 ( 亿美元 ) 净利润 ( 亿美元 ) 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 敬请参阅最后一页免责声明 -25- 证券研究报告

26 东京电子 (835.T): 东京电子 (Tokyo Electron Limited) 成立于 1936 年, 是一家主要从事制造和销售工业用电子产品的公司, 下分显示 半导体 电子信息三个部门 半导体制造设备部门致力于提供等离子体蚀刻设备 热处理系统 涂布机 显影机 单晶硅片沉积系统 清洗系统 晶圆探针系统等 由于市场对存储器芯片 ( 尤其是 DRAM 和 NAND) 的稳定需求, 内存制造厂商的资本投资迅速增长, 从而不断促进 DRAM 规格小型化和 3D 结构新型 NAND 存储芯片的诞生 除了受惠于 3D NAND Flash 投资热潮外, 还有汇率利多因素, 促使以东京电子和 DNS 迪恩士公司设备销售额增长 12.4% 和 41.5% 半导体部门 216 财年营收 613 亿日元, 增长 6.39%, 占财团全年营收 92.3%, 预计 217 年营收可达 亿日元, 增长 21% 按不同地区半导体设备销售情况分析,216 财年中, 公司半导体部门在中国台湾地区销售占比最高, 占比 3, 其次是美国 图 3: 东京电子历年营业收入稳定增长 图 31: 东京电子历年净利润稳定增长 营业总收入 ( 亿日元 ) 净利润 ( 亿日元 ) , , , , , , , , 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 东京电子的目标是在行业内实现世界一流的盈利能力 现假设 22 财年, 公 司硅晶圆设备市场规模达到 37 亿美元, 并且 22 财年营业利润率增长至 25%, ROE 增长至 2 鉴于半导体设备销售市场的变化日新月异, 保守估计 22 财年, 公司硅晶圆设备市场规模可达 3 亿美元, 营业利润率和 ROE 可分别维持在 2 和 15% 的水平 表 9: 东京电子中期业务目标 ( 亿美元 ) 216 财年 22 财年 ( 中期业务目标 ) 硅晶圆设备市场规模 净销售额 毛利率 4.2% 销售费用 营业费用 管理费用 三项费用率 22.6% 营业利润 营业利润率 17.6% 归母净利润 ROE 数据来源 : 公司年报 新时代证券研究所 敬请参阅最后一页免责声明 -26- 证券研究报告

27 半导体设备国内主要供应商 : 中微半导体 : 中微半导体主要提供刻蚀系统, 自主研发 Primo D-RIE Primo AD-RIE Primo TSV Prismo D-BLUE 等各类型号刻蚀机 公司所有刻蚀机都拥有自己的专利创新技术, 设备产出量高, 性能表现优异 ; 独有新型的小批量多反应器系统使得公司的刻蚀系统与同类产品相比生产率提高了 5 以上, 加工每片芯片的成本平均节省 35% Primo D-RIE:3 毫米甚高频去耦合反应离子刻蚀设备, 用于加工 64/45/28nm 氧化硅 (SiO) 氮化硅(SiN) 及低介电系数 (low K) 膜层等不同电介质材料 高生产率 高性能的小批量多反应器系统可以灵活地装臵多达三个双反应台反应器, 以达到最佳芯片加工输出量 ; 每个反应器都可以实现单芯片或双芯片加工 Primo AD-RIE: 用于流程前端 (FEOL) 及后端 (BEOL) 关键刻蚀应用的第二代电介质刻蚀设备, 主要用于 22nm 及以下的芯片刻蚀加工 Primo AD-RIE 采用了具有自主知识产权的可切换低频的射频设计, 优化了上电极气流分布及下电极温度调控的设计, 已成功通过 3 片晶片马拉松测试 Primo AD-RIE 具有优越的制造稳定性, 将晶片上关键尺寸均匀度控制在 2nm 内 Primo TSV 2E:8 英寸硅通孔 (TSV) 刻蚀设备用于 8 英寸晶圆微电子器件 微机电系统 微电光器件等的封装 Primo TSV 2E 拥有双反应台反应器, 既可以单独加工单个晶圆片, 又可以同时加工两个晶圆片, 还可安装多达三个双反应台的反应器, 单位晶圆片产出量相比市场平均水平提高约 1 Prismo D-Blue: 可容纳至多 4 个反应堆, 可同时处理至多 216 个 2 英寸晶圆, 并将延伸至 4 6 和 8 英寸晶圆生产 每个反应器都可以独立控制, 可以以并行或连续的方式进行处理 多反应堆 Prismo D-Blue 比单反应器刻蚀设备体积小 3, 更符合晶圆片工业化量产的需求 图 32: 中微半导体刻蚀设备图例 Primo D-RIE Primo TSV 2E Prismo D-Blue 资料来源 : 公司官网 新时代证券研究所 3.3 后道设备 : 国产替代突破口, 封测设备具备较强竞争力在半导体产业链中, 我国封装测试业发展最早 最为成熟, 相较晶圆制造设备和前道设备制造产业, 封测业是最具备国际竞争力的环节, 即便如此, 国内封装测试企业对于高水平的国产封装测试设备需求依然较大 封装环节所需设备 : 划片机 : 划片机 (Wafer Dicing Saws) 对晶圆进行划片工作, 在贴膜后对晶圆 敬请参阅最后一页免责声明 -27- 证券研究报告

28 进行切割, 同时自动清洗设备, 避免切割过程中产生的粉尘和残渣的影响 国际主要的划片机制造商包括日本的 DISCO 和 Tokyo Seimitsu 东京精密公司 粘片机 : 粘片机 (Die Bonder) 进行粘片工作, 用银浆将芯片进行粘贴, 方便硅晶圆片散热, 并且具有良好的导电性 国际主要的粘片机生产商包括荷兰的 ASM Pacific 和 BESI 公司 日本的佳能公司 丝焊机 : 丝焊机 (Wire Bonder) 进行引线压焊工作, 将焊接面和焊线进行摩擦, 在保证焊接面光滑的情况下完成焊接工作 国际主要的丝焊机生产商包括荷兰的 ASM Pacific 公司 美国的 Kulicke&Soffa 公司 日本的 Shinkawa 新川公司 成型机 : 又称模塑机 (Molding/Encapsulation), 主要进行塑封工艺, 即先进行合模加压, 然后塑封固化 国际主要的成型机设备制造商包括日本的 Towa 和 Dai-ichi Seiko 第一精工公司 荷兰的 BESI 公司 切割机 : 半导体封装元件切割机 (Package Singulation), 利用相关设备将芯片成品进行切割 国际主要的切割机制造商包括荷兰的 ASM Pacific 公司 日本的 DISCO 公司和韩国的 Hanmi Semiconductor 韩美半导体公司 IC 测试分类机 :IC 测试分类机 (Test Handler) 主要进行测试包装工作, 将芯片产品进行电性测试, 区分出合格品和不合格品, 然后将合格品进行封装 国际主要的 IC 测试分类机制造商主要包括日本的爱德万测试和 Tesec 公司 测试环节所需设备 : 测试机 : 检测芯片功能和性能的专用设备, 通过对芯片施加输入信号, 采集被检测芯片的输出信号与预期值进行比较, 判断芯片在不同工作条件下功能和性能的有效性 国外主要的测试机制造商包括美国的泰瑞达和 Xcerra 公司 日本的爱德万测试 分选机和探针台 : 将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的专用设备 在设计验证和成品测试环节, 测试机需要和分选机配合使用 ; 在晶圆检测环节, 测试机需要和探针台配合使用 国外主要的分选机制造商由日本的爱德万测试, 探针台制造商主要有日本东京电子 整体而言, 我国半导体前道设备与美国 日本 荷兰等发达国家相比还相差很大 虽然在后道封装检测设备环节具有一定的竞争力, 但是仍然存在一定差距, 高水平的国产封装测试设备需求依然较大, 国产化也还需要很长时间 由于我国半导体设备制造生产线普遍不成熟, 产品良率普遍不高, 为保证生产的安全性和效率, 绝大多数厂商会使用顶级进口设备, 待工艺成熟, 出货稳定后再逐步进行国产化替代, 上游设备国产化任重道远 半导体封装测试设备国外主要供应商 : DISCO(6146.T):Disco Corporation 主要制造销售半导体制造设备和精密加工工具, 拥有三大业务经营部门 : 精密加工系统部门 工业磨削部门和切割产品部门, 其中精密加工部门主要生产和销售精密加工设备的部件, 如切割锯 激光锯 磨床 抛光机 干蚀刻机 表面刨床 切割刀片等 公司在世界半导体切割和研磨设备市场的份额高达 7, 拥有世界一流的 Kiru( 切 ) Kezuru( 削 ) Migaku( 磨 ) 技术及相关设备,Kiru 技术可将人体头发丝切割为 3 份,Kezuru 技术可将材料稀释至 5 微米 ( 复印纸厚度为 1 微米 ),Migaku 技术通过镜面抛光不断提高材料硬度 敬请参阅最后一页免责声明 -28- 证券研究报告

29 根据其 216 财年年报提供的数据,216 财年订单金额总额为 亿日元, 销售总额为 亿日元, 实现净利润 2.67 亿日元 公司销售额的增长主要依靠精密加工设备的销售, 其中切割机销售额占整体 36%, 除了销售供应日本本国半导体制造企业, 中国大陆和中国台湾地区企业成为主要的销售对象 图 33: DISCO 历年净销售额与订单稳定增长 图 34: DISCO 历年净利润稳定增长 净销售额 ( 亿日元 ) 订单 ( 亿日元 ) 净利润 ( 亿日元 ) 资料来源 : 公司年报 新时代证券研究所 资料来源 : 公司年报 新时代证券研究所 ASM Pacific(522.HK): 公司是全球最大的半导体和发光二极管行业的集成和封装设备供应商之一, 主要产品包括金线及铝线焊接机 管芯焊机 IDEA Line 自动化设备 晶积度焊珠距阵分离系统 后塑封设备 高精准之激光二极管焊机等 目前, 流动装臵 ( 包括智能手机及平板计算机 ) 继续成为集团业务的主要驱动力,LED 一般照明是未来市场重大的增长驱动力, 新收购的 SMT 业务是集团之营业额及盈利的主要增长驱动力 216 财年, 公司业绩表现强劲 : 公司收入创新高达 18.4 亿美元, 增长 9.8%; 实现盈利 14.4 亿港币, 增加 5.9%; 后工序设备业务收入为 9.35 亿美元, 增长 23%; 新增订单总额为 18.8 亿美元, 增长 9.1% 图 35: ASM Pacific 历年营业收入比较稳定 图 36: ASM Pacific 历年净利润稳定上升 营业总收入 ( 亿港币 ) 净利润 ( 亿港币 ) 资料来源 : 公司年报 新时代证券研究所 资料来源 : 公司年报 新时代证券研究所 爱德万测试 (6857.T): 爱德万测试 (Advantest Corporation) 成立于 1954 年, 主要从事大规模集成电路自动测试设备及电子测量仪器的研发 制造 销售和服务, 在集成电路自动测试设备方面, 主要生产提供 SoC 模拟信号 存储器 加强型混合信号测试系统, 以及分选机等 公司于 1993 年正式进入中国市场, 目前在北京 上海 苏州等地拥有分公司 敬请参阅最后一页免责声明 -29- 证券研究报告

30 根据公司年报提供的数据,216 财年销售额为 1559 亿日元, 连续两年呈现微调下跌趋势 ; 与此同时, 公司盈利能力大幅度提升, 实现 139 亿日元和 142 亿日元的营业利润和净利润, 净利润增长 119%; 海外销售市场比例略微下降, 总体维持 9 的占比规模 公司销售额主要依靠半导体与元件测试系统部门, 销售额占公司整体销售规模 65%, 其中半导体与元件测试部门 73% 的销售收入主要依靠非存储芯片测试带来的收入 但是, 根据公司年报预测,217 年智能手机库存量将会进行调整, 逻辑芯片需求量将呈现明显下降趋势, 因此公司预测在 217 财年, 非存储芯片测试收入将下降至 68 亿日元, 减少 8.2%; 同时由于 3D NAND 和 DRAM 存储器芯片市场需求激增, 将刺激存储芯片测试业的发展与升级, 因此公司预测在 217 财年, 存储芯片测试收入将激增至 44 亿日元, 增长 61.8% 216 财年公司新订单实现 8.7% 的增长, 主要得益于半导体与元件测试系统部门下存储芯片测试新订单的爆发性增长,215 财年和 216 财年新订单金额分别为 19 亿日元和 356 亿日元, 增长 87.4% 根据不同区域半导体设备销售情况, 215~216 财年中, 公司半导体部门在中国台湾地区销售占比最高, 历年销售额分别为 464 亿和 466 亿日元, 占整体销售 29% 和 29.9%; 北美地区销售市场由 296 亿日元缩水至 148 亿日元, 缩水 5, 销售占比也由 18% 下降至 9.5% 图 37: 217 年爱德万测试营业收入为 亿日元 图 38: 217 年爱德万测试净利润为 142 亿日元 营业总收入 ( 亿日元 ) 净利润 ( 亿日元 ) , , , , , , 资料来源 : 公司年报 新时代证券研究所 资料来源 : 公司年报 新时代证券研究所 泰瑞达 (TER.N): 泰瑞达 (Teradyne) 成立于 196 年, 生产半导体自动测试机器设备 (Automatic Test Equipment,ATE), 在系统整合芯片的元件测试市场中市占率极高 公司主要客户为国内外著名电子企业, 包括托罗拉 飞利浦半导体 德州仪器 Cisco 3Com 中芯国际 ChipPac 华为 贝岭等 216 财年, 公司半导体测试部门客户中国台湾地区半导体制造有限公司和 JA 日本三井租赁有限公司分别占公司综合收入的 12% 和 12%;215 财年,JA 三井租赁占综合收入的 13% 公司半导体测试部门竞争对手主要有 Advantest 爱德万测试公司和 Xcerra 公司 根据其 216 财年年报提供的数据, 财年销售额分别为 亿 16.4 亿 亿美元, 净利润分别为.81 亿 2.6 亿.43 亿美元 ; 半导体生产设备 B/B 值为 根据不同区域半导体设备销售情况, 公司半导体测试设备在中国台湾地区销售占比最高, 占整体销售 37% 敬请参阅最后一页免责声明 -3- 证券研究报告

31 图 39: 216 年泰瑞达营业收入为 亿美元 图 4: 216 年泰瑞达净利润为 -.43 亿美元 营业总收入 ( 亿美元 ) 净利润 ( 亿美元 ) % 1 5% -5% -1-15% 资料来源 : 公司年报 新时代证券研究所 资料来源 : 公司年报 新时代证券研究所 半导体封装测试设备国内主要供应商 : 长川科技 (364.SZ): 公司主要为国内外集成电路封装测试龙头企业提供测试机和分选机, 如长电科技 华天科技 通富微电 日月光 213 年以来, 公司承担了国家科技重大 2 专项 通讯与多媒体芯片封装测试设备与材料应用工程 中 高压大电流测试系统 和 SiP 吸放式全自动测试分选机 两项课题的研发工作, 其中 高压大电流测试系统 项目已通过长电科技 通富微电的认证, SiP 吸放式全自动测试分选机 项目适用于 QFP QFN BGA 等中高端封装外型芯片的测试分选, 已通过长电科技的验证, 并实现批量销售 公司生产的测试机包括大功率测试机 (CTT 系列 ) 模拟/ 数模混合测试机 (CTA 系列 ) 等 ; 分选机包括重力下滑式分选机 (C1 C3 C3Q C37 C5 C7 C8 C9 C9Q 系列 ) 平移式分选机(C6 C7R 系列 ) 等 全球先进测试设备制造技术基本掌握在美国 日本等集成电路产业发达国家厂商手中, 市场集中度高, 国内外市场仍主要由美国泰瑞达 (Teradyne) 日本爱德万(Advantest) 美国安捷伦 (Agilent) 美国科利登(Xcerra) 和美国科休 (Cohu) 等国际知名企业所占据 ; 以长川科技和北京华峰为代表的少数本土企业测试设备产品高品质 低成本的测试产品已进入国内封测龙头企业的供应商体系, 正努力实现进口替代 公司测试机和分选机产品主要性能指标均已达国内领先 接近国外先进技术水平 : 以测试机 CTA828 型号为例, 与同类型的泰瑞达 ETS88 在关键指标电压精度 电流精度和时间精度之间进行对比 ; 以分选机 C643 型号为例, 与同类型的爱普生 NS-84SH 在关键指标 UPH Jam Rate Test Force 之间进行对比 : 表 1: 长川科技测试机 CTA828 与泰瑞达 ETS88 对比一览表产品型号电压精度电流精度时间精度泰瑞达 ETS88 ±(1.3mV+.25%Rdg) ±(1.25uA+.5%Rdg+8nA/V) ±(2nSec) 公司 CTA828 ±.5%Rdg ±.1%Rdg ±(2nSec) 资料来源 : 长川科技 新时代证券研究所表 11: 长川科技测试机 CTA828 与泰瑞达 ETS88 对比一览表产品型号 UPH Jam Rate Test Force Epson 8 1/5 12Kgf NS-84SH 公司 C /5 9Kgf 资料来源 : 长川科技 新时代证券研究所 敬请参阅最后一页免责声明 -31- 证券研究报告

32 4 受益标的 4.1 精测电子 (3567): 面板检测高速增长, 半导体检测振翅欲飞国内面板检测设备龙头, 充分受益下游行业大发展 精测电子是国内面板检测设备龙头, 是行业内少数几家能够提供平板显示三大制程检测系统的企业 公司技术发展路线方面思路清晰, 加之技术研发投入力度大, 中 前段设备将会不断优化, 满足下游客户需求能力不断加强 在 LCD 产能不断向大陆转移和 OLED 建设大浪潮下, 公司将会充分受益于平板检测设备国产替代大趋势 LCD 产业持续景气,218 年 OLED 爆发在即 当前, 全球平板显示产业集中在韩国 中国台湾地区 日本和中国大陆, 近年来全球 LCD 面板产能的增长主要来自中国, 产业不断向中国大陆转移 根据我们统计数据, 年国内 LCD 产线投资额分别为 116/12/1325 亿元, 对应的 LCD 面板检测设备需求空间为 65./57.1/74.2 亿元 OLED 方面,217 年苹果推出的 iphonex 采用 OLED 屏幕已经极大加速中小尺寸 AMOLED 产业化进程 目前, 韩厂几乎占据全部柔性 OLED 产能, 京东方柔性 OLED 量产, 拉开了中国企业打破韩国企业垄断地位的序幕, 到 22 年, 中国大陆将会成为除韩国以外 OLED 产能最大的地区 根据我们测算数据, 年国内 OLED 产线投资额分别为 575/1272/1284 亿元, 对应的 OLED 检测设备需求空间为 48.3/16.8/17.9 亿元 携手 IT&T 切入半导体检测领域, 打开成长新空间 IT&T 是韩国三星 SK 海力士的主力供应商之一, 具备丰富的存储器检测经验, 与 IT&T 合作, 有助于加快公司在半导体领域的产业布局 当前, 国内半导体产线投资风起云涌, 根据我们的测算数据, 国内已经公布的半导体产线投资金额将超过 1 亿美元, 对应的半导体检测设备市场规模高达 72 亿美元, 其中, 存储器检测设备需求空间约为 2 亿美元, 市场空间巨大 218 年有望继续实现高速增长, 维持 强烈推荐 评级 预计公司 年实现净利润分别为 2.85/4.16/6.25 亿元, 分别增长 71%/45.8%/5.2%,EPS 分别为 3.49/5.8/7.64 元 我们认为公司作为国内面板检测设备龙头,218 年将会充分受益于平板检测设备国产替代, 维持 强烈推荐 评级 图 41: 精测电子营业收入快速增长 图 42: 精测电子净利润快速增长 营业总收入 ( 亿元 ) 归属母公司股东净利润 ( 亿元 ) 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 敬请参阅最后一页免责声明 -32- 证券研究报告

33 4.2 北方华创 (2371): 国内半导体设备龙头公司在国内半导体设备领域处于领先地位 公司是目前国内集成电路高端工艺装备的龙头企业, 生产提供等离子刻蚀设备 PVD 设备 CVD 设备 氧化 / 扩散设备 清洗设备 新型显示设备 气体质量流量控制器等, 是中国最大的电子装备生产基地和高端电子元器件制造基地 加速迈入先进工艺制程, 集成电路制造设备率先进入国际供应链体系 公司 14nm 等离子硅刻蚀机已交付客户,28nm Hardmask PVD Al-Pad PVD 设备已率先进入集成电路主流代工厂,12 英寸清洗机累计流片量已突破 6 万片大关, 深硅刻蚀设备成功进入东南亚市场 在先进封装领域, 公司刻蚀机和 PVD 设备已在全球主要企业中得到广泛应用, 其中 PVD 机台已成为全球排名前三的 CIS 封装企业的首选机台 半导体高端工艺装备成为主要营收支柱, 以期推动设备国产化进程 216 财年, 公司营业收入为 亿元, 增长 89.93%; 实现净利润.93 亿, 增长 46.67%; 公司半导体设备营业收入由 5.21 亿元增长到 8.13 亿元, 比上年同期增长 56.18%, 占公司销售收入 5.1%, 构成公司营业收入的主要来源 公司 216 财年营业收入实现跨越式的发展, 主要得益于资产重组, 重组后的北方华创微电子是目前国内唯一以集成电路高端工艺装备为主营业务的上市公司, 公司已推出了全面市场化的高端集成电路生产设备产品, 逐步实现进口替代 图 43: 北方华创营业收入稳定增长 图 44: 北方华创盈利能力还相对较弱 营业总收入 ( 亿元 ) 归属母公司股东净利润 ( 亿元 ) 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 敬请参阅最后一页免责声明 -33- 证券研究报告

34 4.3 长川科技 (364): 国内领先的半导体测试设备供应商国内领先的半导体测试设备提供商 公司是国内为数不多的可以自主研发 生产集成电路测试设备的企业, 主要为集成电路封装测试 晶圆制造 芯片设计企业等提供测试设备 目前, 公司生产的集成电路测试机和分选机产品已获得长电科技 华天科技 通富微电 士兰微 华润微电子 日月光等多个一流集成电路企业的使用和认可 215 年 7 月, 大基金入股, 持有公司 万股, 占比 7.5%, 大基金保驾护航, 有利于提升公司可持续发展能力 国内集成电路产业景气度高, 专用设备市场持续向好 当前, 亚太地区 ( 除日本 ) 已经成为全球半导体市场增长最为迅猛的区域,2 年亚太地区 ( 除日本 ) 半导体市场规模占比为 25.1, 到 216 年该比例迅速提升至 65.4%, 中国市场已经成为推动亚太地区 ( 除日本 ) 发展的重要推动力 我们认为受晶圆厂建设热潮推动, 中国半导体装备投资热潮将在 218 年显现 根据 SEMI 预测数据,218 年中国半导体装备市场的规模将增至 86 亿美元, 跃居全球第二, 而全球的半导体装备到 218 年将达到 54 亿美元的出货量, 进入超级景气周期 国内封测行业最具国际竞争力, 为本土测试设备制造业带来更大的市场空间 测试设备市场需求主要来源于下游封装测试企业 晶圆制造企业和芯片设计企业, 其中又以封装测试企业为主, 本土封装测试龙头企业通过海外并购整合等方式, 从规模 渠道和技术实力等方面全面提升整体竞争力, 已经成为我国集成电路产业链中最具竞争力的环节, 再加上我国集成电路产业规模的不断扩大以及全球产能向我国大陆地区转移的加快, 集成电路各细分行业对测试设备的需求将不断增长, 国内集成电路测试设备市场需求空间巨大 图 45: 长川科技营业收入快速增长 图 46: 长川科技净利润快速增长 营业收入 ( 亿元 ) 归属于母公司所有者净利润 ( 万元 ) , , , , 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 敬请参阅最后一页免责声明 -34- 证券研究报告

35 4.4 晶盛机电 (3316): 国内硅生产设备龙头, 半导体设备大有可为国内硅生产设备龙头 公司是国内首家唯一自主研制成功全套单晶炉设备的供应商, 唯一具备提供三项设备的供应商, 公司连续承担了两项国家重大专项 公司产品主要应用于光伏 半导体以及 LED 领域 半导体硅片供应关系半导体产业安全, 公司有望深度受益 半导体是国家战略, 在国家政策 产业基金的大力推动下, 半导体行业将迎来景气周期 半导体硅片是半导体制造领域的关键材料之一, 同时也是中国集成电路产业链中的一个短板 而从 216 年开始, 硅片价格一路上涨, 且供不应求, 导致中国一些新建或者中小型晶圆企业陷入产能开出却无硅片可用的尴尬局面, 提高硅片的供应能力正变得刻不容缓 目前中国各地已经兴起建设硅片生产厂的浪潮, 是国内唯一实现半导体级产品批量供货的硅生长炉企业, 是最直接受益的设备厂商之一, 公司有望深度受益 此外, 公司与天津中环 无锡市政府签订合作协议, 共同建设半导体用大硅片项目, 未来前景非常值得期待 光伏行业景气度高, 下游厂商不断扩产 我国光伏规模持续增长, 部分行业龙头的产能扩大 技术进步及行业单晶比例的逐步提升, 分布式的爆发式增长, 给上游装备行业带来了较高的景气度 公司是国内少数几家具备单晶炉大规模制造能力的企业之一, 公司与中环光伏 晶澳新能源行业龙头 大型 优质客户进行密切合作, 公司光伏板块业绩将会大幅受益于下游厂商的扩产, 持续向好 图 47: 晶盛机电年营业收入快速增长 图 48: 晶盛机电净利润快速提升 营业总收入 ( 亿元 ) 归属母公司股东净利润 ( 亿元 ) 资料来源 :wind 新时代证券研究所 资料来源 :wind 新时代证券研究所 5 风险提示 国内半导体产线投资力度和进度不及预期 国内半导体设备研发进步不及预期 敬请参阅最后一页免责声明 -35- 证券研究报告

36 特别声明 证券期货投资者适当性管理办法 证券经营机构投资者适当性管理实施指引 ( 试行 ) 已于 217 年 7 月 1 日 起正式实施 根据上述规定, 新时代证券评定此研报的风险等级为 R3( 中风险 ), 因此通过公共平台推送的研报其 适用的投资者类别仅限定为专业投资者及风险承受能力为 C3 C4 C5 的普通投资者 若您并非专业投资者及风险 承受能力为 C3 C4 C5 的普通投资者, 请取消阅读, 请勿收藏 接收或使用本研报中的任何信息 因此受限于访问权限的设臵, 若给您造成不便, 烦请见谅! 感谢您给予的理解与配合 分析师声明负责准备本报告以及撰写本报告的所有研究分析师或工作人员在此保证, 本研究报告中关于任何发行商或证券 所发表的观点均如实反映分析人员的个人观点 负责准备本报告的分析师获取报酬的评判因素包括研究的质量和准 确性 客户的反馈 竞争性因素以及新时代证券股份有限公司的整体收益 所有研究分析师或工作人员保证他们报 酬的任何一部分不曾与, 不与, 也将不会与本报告中具体的推荐意见或观点有直接或间接的联系 分析师介绍 孙金钜, 新时代证券研究所所长, 兼首席中小盘研究员 上海财经大学数量经济学硕士, 曾任职于国泰君安证 券研究所 专注于新兴产业的投资机会挖掘以及研究策划工作 216 年 217 年连续两年带领团队获新财富最佳 分析师中小市值研究第一名, 自 211 年新财富设立中小市值研究方向评选以来连续七年 ( ) 上榜 同时 连续多年获水晶球 金牛奖 第一财经等中小市值研究评选第一名 投资评级说明新时代证券行业评级体系 : 推荐 中性 回避 推荐 : 中性 : 回避 : 未来 6-12 个月, 预计该行业指数表现强于市场基准指数 未来 6-12 个月, 预计该行业指数表现基本与市场基准指数持平 未来 6-12 个月, 未预计该行业指数表现弱于市场基准指数 市场基准指数为沪深 3 指数 新时代证券公司评级体系 : 强烈推荐 推荐 中性 回避强烈推荐 : 未来 6-12 个月, 公司股价超越分析师 ( 或分析师团队 ) 所覆盖股票平均回报 2 及以上 该评级由分析师给出 推荐 : 未来 6-12 个月, 公司股价超越分析师 ( 或分析师团队 ) 所覆盖股票平均回报 1-2 该评级由分析师给出 中性 : 未来 6-12 个月, 公司股价与分析师 ( 或分析师团队 ) 所覆盖股票平均回报相当 该评级由分析师给出 回避 : 未来 6-12 个月, 公司股价低于分析师 ( 或分析师团队 ) 所覆盖股票平均回报 1 及以上 该评级由分析师给出 分析 估值方法的局限性说明本报告所包含的分析基于各种假设, 不同假设可能导致分析结果出现重大不同 本报告采用的各种估值方法及 模型均有其局限性, 估值结果不保证所涉及证券能够在该价格交易 敬请参阅最后一页免责声明 -36- 证券研究报告

37 免责声明 新时代证券股份有限公司经中国证券监督委员会批复, 已具备证券投资咨询业务资格 本报告由新时代证券股份有限公司 ( 以下简称新时代证券 ) 向其机构或个人客户 ( 以下简称客户 ) 提供, 无意针对或意图违反任 何地区 国家 城市或其它法律管辖区域内的法律法规 新时代证券无需因接收人收到本报告而视其为客户 本报告是发送给新时代证券客户的, 属于机密材料, 只有新时代证券客户才 能参考或使用, 如接收人并非新时代证券客户, 请及时退回并删除 本报告所载的全部内容只供客户做参考之用, 并不构成对客户的投资建议, 并非作为买卖 认购证券或其它金融工具的邀请或保 证 新时代证券根据公开资料或信息客观 公正地撰写本报告, 但不保证该公开资料或信息内容的准确性或完整性 客户请勿将本报 告视为投资决策的唯一依据而取代个人的独立判断 新时代证券不需要采取任何行动以确保本报告涉及的内容适合于客户 新时代证券建议客户如有任何疑问应当咨询证券投资顾问 并独自进行投资判断 本报告并不构成投资 法律 会计或税务建议或担保任何内容适合客户, 本报告不构成给予客户个人咨询建议 本报告所载内容反映的是新时代证券在发表本报告当日的判断, 新时代证券可能发出其它与本报告所载内容不一致或有不同结论 的报告, 但新时代证券没有义务和责任去及时更新本报告涉及的内容并通知客户 新时代证券不对因客户使用本报告而导致的损失负 任何责任 本报告可能附带其它网站的地址或超级链接, 对于可能涉及的新时代证券网站以外的地址或超级链接, 新时代证券不对其内容负 责 本报告提供这些地址或超级链接的目的纯粹是为了客户使用方便, 链接网站的内容不构成本报告的任何部分, 客户需自行承担浏 览这些网站的费用或风险 新时代证券在法律允许的情况下可参与 投资或持有本报告涉及的证券或进行证券交易, 或向本报告涉及的公司提供或争取提供 包括投资银行业务在内的服务或业务支持 新时代证券可能与本报告涉及的公司之间存在业务关系, 并无需事先或在获得业务关系后 通知客户 除非另有说明, 所有本报告的版权属于新时代证券 未经新时代证券事先书面授权, 任何机构或个人不得以任何形式更改 复制 传播本报告中的任何材料, 或以任何侵犯本公司版权的其他方式使用 所有在本报告中使用的商标 服务标识及标记, 除非另有说明, 均为新时代证券的商标 服务标识及标记 新时代证券版权所有并保留一切权利 机构销售通讯录 北京 郝颖销售总监 上海 深圳 固话 : 手机 : 邮箱 :haoying1@xsdzq.cn 吕莜琪销售总监 固话 : 转 258 手机 : 邮箱 :lvyouqi@xsdzq.cn 史月琳销售经理 固话 : 手机 : 邮箱 :shiyuelin@xsdzq.cn 联系我们 新时代证券股份有限公司研究所北京地区 : 北京市海淀区北三环西路 99 号院 1 号楼 15 层上海地区 : 上海市浦东新区浦东南路 256 号华夏银行大厦 5 楼广深地区 : 深圳市福田区福华一路 88 号中心商务大厦 15 楼 151 室 邮编 :186 邮编 :212 邮编 :51846 公司网址 : 敬请参阅最后一页免责声明 -37- 证券研究报告

银河证券公司简评研究报告

银河证券公司简评研究报告 基金研究报告 013 年 3 月 19 日 ProShares Direxion 旗下杠杆 ETF 产品分析 中国银河证券基金研究中心方童根 :(8610) 8357 4540 :fangtonggen@chinastock.com.cn 本篇我们谈下美国两个主要杠杆 ETF 产品发行公司 :Proshares 和 Direxion 的杠杆 ETF 产品情况, 包括产品投资方向 杠杆倍数 费率以及产品的发行节奏等

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

附图 1: 公司单季度营业收入变化 ( 亿元 ) 附图 2: 公司单季度归母净利变化 ( 亿元 ) 附图 3: 公司单季度毛利率 净利率变化 敬请参阅最后一页免责声明 -2- 证券研究报告

附图 1: 公司单季度营业收入变化 ( 亿元 ) 附图 2: 公司单季度归母净利变化 ( 亿元 ) 附图 3: 公司单季度毛利率 净利率变化 敬请参阅最后一页免责声明 -2- 证券研究报告 2017 年 10 月 26 日 铁汉生态 (300197.SZ) 建筑装饰 / 园林工程 业绩符合预期, 生态治理及特色小镇 PPP 进展顺利 季报点评 事件 : 公司发布 2017 年三季度报, 前三季度实现营收 47.14 亿元, 同比增长 93.62%; 归母净利润 4.59 亿元, 同比增长 59.26% 其中第三季度实现营收 19.19 亿元, 同比增长 94.78%; 归母净利润 1.90

More information

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63>

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63> 上海工业自动化仪表研究所 上海西派埃温度仪表公司 前言 上海西派埃温度仪表公司系上海工业自动化仪表研究所温度测量仪表部创建的高科技型经济实体, 为温度仪表试验设备与温度控制系统专业生产单位 上海工业自动化仪表研究所温度测量仪表部从事温度测量仪表 温度仪表试验设备及温度控制系统的研究开发已有 40 多年历史, 不仅具有一支在研究开发 生产制造各类温度仪表 试验设备都极具丰富经验的技术队伍, 而且有国内外先进的试验条件与测试装备,

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

父亲的黑鱼 等, 均立足中国文化, 追寻文化根源, 在市场上产生了较好影响 我们认为公司未来将继续充分利用自身的图书策划能力和版权优势, 培养和推出更多具有市场影响力的作品, 不断提升公司在图书版权运营 发行方面的竞争力, 实现业绩的持续增长 构建图书出版领域中长期护城河, 新项目不断推进, 维持

父亲的黑鱼 等, 均立足中国文化, 追寻文化根源, 在市场上产生了较好影响 我们认为公司未来将继续充分利用自身的图书策划能力和版权优势, 培养和推出更多具有市场影响力的作品, 不断提升公司在图书版权运营 发行方面的竞争力, 实现业绩的持续增长 构建图书出版领域中长期护城河, 新项目不断推进, 维持 2018 年 03 月 30 日新经典 (603096.SH) 传媒 / 文化传媒 强化自有版权业务优势, 挖掘内容领域新生力量 新经典年报点评报告年报点评报告 胡皓 ( 分析师 ) 马笑 ( 联系人 ) huhao@xsdzq.cn maxiao@xsdzq.cn 证书编号 :S0280518020001 证书编号 :S0280117100011 事件 : 公司于 2018 年 3 月 29 日晚披露了年报,

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

Management2.0: Competitive Advantage through Business Model Design and Innovation

Management2.0: Competitive Advantage through Business Model Design and Innovation 2013-2014 年全球及中国 IC 先进封装设备行业研究报告 2013-2014 年全球及中国 IC 先进封装设备行业研究报告 包含以下内容 : 1 半导体产业概况 2 内存与晶圆代工行业现状 3 半导体下游市场分析 4 新兴先进封装技术趋势 5 封装设备行业分析与排名 6 15 家先进封装设备厂家研究 封装设备可以分 Wafer Level 和 Die Level 两大类型, 通常 Wafer

More information

( 四 ) 业绩逐季改善, 预计今年平稳增长, 明年有望爆发 公司三季度业绩小幅增长, 相对半年报业绩有所改善 公司 2016 年第三季度实现营业收入 2.36 亿元, 同比增长 4.19%; 归属于上市公司股东的净利润为 万元, 同比增长 14.36% 而公司 2016 年 1-6

( 四 ) 业绩逐季改善, 预计今年平稳增长, 明年有望爆发 公司三季度业绩小幅增长, 相对半年报业绩有所改善 公司 2016 年第三季度实现营业收入 2.36 亿元, 同比增长 4.19%; 归属于上市公司股东的净利润为 万元, 同比增长 14.36% 而公司 2016 年 1-6 公司点评报告 计算机行业 2016 年 10 月 20 日 业绩平稳增长, 明年有望爆发 索菱股份 (002766.SZ)2016 年三季报点评 投资要点 : 1. 事件 10 月 19 日晚公司发布 2016 年三季报, 报告期内, 公司 2016 年第三季度实现营业收入 2.36 亿元, 同比增长 4.19%; 归属于上市公司股东的净利润为 2621.58 万元, 同比增长 14.36% 公司

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

公司点评研究报告

公司点评研究报告 公司跟踪 建筑行业 2018 年 11 月 18 日 业绩符合预期, 长期景气度有望提升 广田集团 (002482.SZ) 核心观点 : 1. 投资事件 公司 (002482.SZ) 公告 2018 年第三季度报告 2. 分析与判断 业绩符合预期, 经营现金流流出增多 2018 年首三季度, 公司实现营业收入 100.06 亿元, 同比增长 15.36%, 增速同比降低 22.85pct; 实现归母净利润

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

研发人员占比达到 65.61%, 在二线游戏厂商中处于顶尖水平 公司 IP 储备十分丰富, 目前手握 盗墓笔记 三体 权力的游戏 及 星球大战 等顶级 IP, 其中 :(1) 公司已与华纳兄弟达成战略合作, 将 与 HBO 全球授权团队共同推出 权力的游戏 手游, 预计最早将于 2018 年 内上线

研发人员占比达到 65.61%, 在二线游戏厂商中处于顶尖水平 公司 IP 储备十分丰富, 目前手握 盗墓笔记 三体 权力的游戏 及 星球大战 等顶级 IP, 其中 :(1) 公司已与华纳兄弟达成战略合作, 将 与 HBO 全球授权团队共同推出 权力的游戏 手游, 预计最早将于 2018 年 内上线 2018 年 04 月 02 日游族网络 (002174.SZ) 传媒 / 互联网传媒 手游和海外业务持续发力, 顶级 IP 作品上线 18 年业绩可期 游族网络年报点评报告年报点评报告 胡皓 ( 分析师 ) 马笑 ( 联系人 ) huhao@xsdzq.cn maxiao@xsdzq.cn 证书编号 :S0280518020001 证书编号 :S0280117100011 事件 : 游族网络 2018

More information

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头,

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头, 机械设备行业行业报告行业深度报告 投资评级 : 推荐 ( 首次 ) 报告日期 :2018 年 06 月 26 日分析师曲小溪 010-88366060-8712 Email:quxx@cgws.com 执业证书编号 :S1070514090001 张如许 0755-83559732 Email:zhangruxu@cgws.com 执业证书编号 :S1070517100002 联系人 ( 研究助理

More information

公司研究报告

公司研究报告 证券研究报告 公司研究 / 首次覆盖 2017 年 05 月 11 日机械设备 / 专用设备 Ⅱ 投资评级 : 买入 ( 首次评级 ) 当前价格 ( 元 ): 48.48 合理价格区间 ( 元 ): 60~65 章诚 执业证书编号 :S0570515020001 研究员 021-28972071 zhangcheng@htsc.com 张騄 执业证书编号 :S0570515060001 研究员 021-28972073

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年 218 年 4 月 25 日北方华创 (2371.SZ) 电子 / 半导体 国内高端半导体装备领跑者, 行业景气助力高增长 北方华创首次覆盖报告首次覆盖报告 郭泰 ( 分析师 ) 陈皓 ( 联系人 ) 吴吉森 ( 联系人 ) 1-83561 guotai@xsdzq.cn 证书编号 :S2851814 1-83561 chenhao1@xsdzq.cn 证书编号 :S2811848 证书编号 :S7411687

More information

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor Certificate of Registration 质量管理体系 IATF 16949:2016 兹证明 : 中国上海中国 ( 上海 ) 自由贸易试验区哈雷路 288 号邮编 : Huahong Grace Semiconductor No. 288 Halei Road () Pilot Free Trade Zone 并运行符合 IATF 16949:2016 要求的质量管理体系, 认证范围如下

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

电感-中文单页

电感-中文单页 o 360SERVICE comprehensive scheme for the sensor VALUE TECHNOLOGY QUALITY 着眼 大市场 高科技产品 建设国内一流 国际知名的大型综合化传感器及工业自动化产业集团 十余年来的拼搏使兰宝得以持续稳定地发展 完成了一个中国传感器企业由小到大 由弱到强 并迅速走向世界的发展历程 兰宝愿与国内外同行携手合作 共同为全球客户提供优质的产品和服务

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

D4

D4 4 020 Application Trend and Fabrication Introduction of 3D Integrated Circuits Through Silicon Vias Technology Abstract The three-dimensional integrated circuits through silicon vias (3D IC TSV) technology

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

乱世王者 已于 8 月 16 日上线, 乱世王者 的内部评级和 王者荣耀 都为 6 星产品 腾讯游戏对 6 星产品标准为优品质 强 IP 高留存等, 表明 乱世王者 产品的高水准 乱世王者 对比以前 SLG 游戏有以下特点, 精美画质, 三国题材, 多元的泛 IP 化 +RPG 卡牌玩法, 融入直播

乱世王者 已于 8 月 16 日上线, 乱世王者 的内部评级和 王者荣耀 都为 6 星产品 腾讯游戏对 6 星产品标准为优品质 强 IP 高留存等, 表明 乱世王者 产品的高水准 乱世王者 对比以前 SLG 游戏有以下特点, 精美画质, 三国题材, 多元的泛 IP 化 +RPG 卡牌玩法, 融入直播 2017 年 08 月 25 日 中文传媒 (600373.SH) 传媒 / 互联网传媒 传统业务稳定增长, 乱世王者 业绩值得期待 中文传媒 2017 年中报点评半年报点评 2017 年中报业绩情况 : 2017 年 H1, 公司营业收入 59.92 亿元, 同比下跌 1.76%, 归母净利润 7.95 亿元, 同比增长 25.26%; 其中海外收入 21.3 亿, 占总收入的 35.54% 业绩影响因素主要系智明星通销售费用下降

More information

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

盈利预测及评级 : 我们预计公司 年净利润分别为 和 亿 元, 对应估值分别为 和 38 倍 随着并购重组和优质资产注入, 未 来看好公司成长为游戏全产业链的全球化公司, 给予 推荐 评级 风险提示 : 竞争加剧, 并购标的业绩不及

盈利预测及评级 : 我们预计公司 年净利润分别为 和 亿 元, 对应估值分别为 和 38 倍 随着并购重组和优质资产注入, 未 来看好公司成长为游戏全产业链的全球化公司, 给予 推荐 评级 风险提示 : 竞争加剧, 并购标的业绩不及 2017 年 08 月 23 日世纪华通 (002602.SZ) 传媒 / 互联网传媒 业绩符合预期, 传统业务稳定, 持续推进游戏全产业链全球化战略 世纪华通 2017 年中报点评半年报点评 2017 年中报业绩情况 : 2017 年 H1, 公司营业收入 16.32 亿元, 同比下跌 3.87%, 归母净利润 6.18 亿元, 同比增加 125.00%; 基本每股收益 0.60 元, 同比增长

More information

图表 1: 年中国短视频行业市场规模及预测 市场规模 ( 亿元 ) 增长率 % % % e 218e 219e 22e %

图表 1: 年中国短视频行业市场规模及预测 市场规模 ( 亿元 ) 增长率 % % % e 218e 219e 22e % 218 年 3 月 2 日传媒行业 主动拥抱短视频新业态, 传统媒体焕发新活力 新时代传媒行业点评行业点评 事件 : 3 月 2 日上午, 人民网 腾讯 歌华有线视频举行战略合作发布会 人民网 腾讯公司 歌华有线 ( 含其关联基金 ) 将成立视频合资公司, 共同发力直播和短视频领域 本次合作将融合人民网的内容制作和品牌优势 腾讯的技术平台和流量优势以及歌华有线在北京地区的广泛终端覆盖, 发力短视频

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

山 东 省 重 点 行 业 技 术 发 展 白 皮 书 ( 二 ) 山 东 省 经 济 和 信 息 化 委 员 会 2016 年 7 月 前 言 推 进 供 给 侧 结 构 性 改 革, 必 须 牢 固 树 立 创 新 发 展 理 念 面 对 经 济 发 展 新 常 态 和 新 一 轮 全 球 产 业 变 革, 全 省 工 业 战 线 主 动 响 应 国 家 战 略, 积 极 调 整 发 展 思

More information

CIP 1 μm μm [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息

CIP 1 μm μm [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息 碳-石墨产品 特种石墨 1 单晶硅制造设备 2 临界等离子测试设备 JT-6 * 照片由日本原子能研究开发机构提供 (1) (2) CIP 1 μm 2 25 1 μm 5 1 15 2 [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息 / 未经东洋炭素的事先许可, 不得使用或转载本目录信息 6 LEDEDM * * CVD 7 未经东洋炭素的事先许可, 不得使用或转载本目录信息

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

目录 1 集成电路国产化迫在眉睫, 装备需求大周期来临 自给能力严重不足, 缺芯之痛 亟待解决 国家意志推动, 国内芯片产能将大幅提升 产能扩张带动设备投资需求提升, 国产设备商迎来发展契机 进口替代遵循 先易后难 路径, 测试设备

目录 1 集成电路国产化迫在眉睫, 装备需求大周期来临 自给能力严重不足, 缺芯之痛 亟待解决 国家意志推动, 国内芯片产能将大幅提升 产能扩张带动设备投资需求提升, 国产设备商迎来发展契机 进口替代遵循 先易后难 路径, 测试设备 国产 IC 测试装备先锋, 步入加速成长周期 方正证券研究所证券研究报告 长川科技 (300604) 机械设备行业 公司研究 公司深度报告 2018.02.06/ 强烈推荐 ( 调升 ) 首席分析师 吕娟 执业证书编号 : S1220517020002 TEL: 021-68388509 E-mail lvjuan@foundersc.com 联系人 : 李远剑 TEL: 021-50432679

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

XGW1-12户外环网柜.indd

XGW1-12户外环网柜.indd ABOUT CREAT 信誉等级 科锐公司连续被评为国内信誉等级最高的 AAA 级企业 ISO 9001 质量论证体系 2002 年通过了中国新时代认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2006 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2008 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000

More information

AA+ AA % % 1.5 9

AA+ AA % % 1.5 9 2014 14 01 124753 2014 6 23 AA+ AA+ 2013 12 31 376.60 231.36 227.85 38.57% 2013 4.36 4.75 4.67 2011-2013 9.18 6.54 4.67 6.80 12 56.64% 1.5 9 2013 12 31 376.60 231.36 227.85 38.57% 2013 4.36 4.75 4.67 2013

More information

( ) A 1, [][] 6,500 [2009]

( ) A 1, [][] 6,500 [2009] 1 66 4 1-1-1 ( ) A 1,656 1 2009 [][] 6,500 [2009]94 2009 11 16 1-1-2 1-1-3 1 [2009]94 [2009]223 A 1,656 10% 165.60 2. 4,844 1,656 A 6,500 [2009]94 3 2008 2008 2009 2009 6 30 18,885.28 4 (1) 1-1-4 8 12

More information

<4D6963726F736F667420506F776572506F696E74202D20A5FAB971A562BEC9C5E9BB73B57BB35DB3C6A4B6B2D0>

<4D6963726F736F667420506F776572506F696E74202D20A5FAB971A562BEC9C5E9BB73B57BB35DB3C6A4B6B2D0> 光 電 半 導 體 製 程 設 備 介 紹 電 子 光 子 能 階 升 授 課 老 師 : 林 彥 勝 博 士 夸 克 原 子 核 E-mail: yslin@mail.cna.edu.tw 能 階 降 Content 潔 淨 室 (Cleaning Room) 薄 膜 沈 積 (Thin Film Deposition) 化 學 汽 相 沉 積 法 MOCVD ( Metal Organic Chemical

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

东吴证券研究所

东吴证券研究所 证券研究报告 行业研究 食品饮料行业 食品饮料周报 + ( ) : 3.8% 1% 1.7% 17 : 1 1 14 217 4 16 S651592 mahb@dwzq.com.cn 21-6199762 1 688716 2 217413 17Q1 2 + 15%+18%+ 17Q1 + 217411 15%+35%+ 3 6872 2 + +3% 1 217411 2 4 6327 + 217411

More information

长江精工(600496)

长江精工(600496) 公司简评研究报告中信证券 (600030.sh) 2010 年 08 月 28 日 管理费用大增 中性维持评级 分析师 : 迟晓辉,CFA :chixiaohui@chinastock.com.cn :010-66568286 执业证书编号 :S0130208021564 1. 事件中信证券发布 2010 年半年报, 上半年实现营业收入 79.05 亿元, 归属母公司净利润 26.28 亿元, 分别较去年同期下降

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

银河证券行业简评研究报告

银河证券行业简评研究报告 公司证券研究报告计算机行业 2011 年 1 月 15 日 投资人大金仓进入基础软件领域 推荐维持评级 分析师 : 王家炜 :(8610)6656 8272 :wangjiawei@chinastock.com.cn 执业证书编号 :S0130209081719 王莉 :(8610)8357 4039 :wangli_zb@chinastock.com.cn 执业证书编号 :S0130210070010

More information

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%,

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%, 半导体设备稀缺平台, 格局优势凸显战略位置 68 方正证券研究所证券研究报告 TMT 首席分析师 : 段迎晟执业证书编号 :S1220514060002 E-mail:duanyingsheng@foundersc.com 七星电子 (002371) 公司投资价值分析报告 2015.03.02 推荐 半导体行业 联系人 : 孙远峰 Email:sunyuanfeng@foundersc.com 分析师

More information

太阳能应用

太阳能应用 为何选择 Entegris?...3 Entegris 在太阳能应用方面...6 Entegris 在 c- 硅制造方面的能力...7...8...9 /...10...11...12 Entegris 在薄膜制造方面的能力...13...14...15...16...17...18...19 www.pvprocesssolutions.com 2 ENTEGRIS, INC. Entegris?

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

Слайд 1

Слайд 1 组装与封装设备 Assembly and packaging equipment 主要产品 Main product lines 探针 Probers 晶圆研磨 Wafer Grinders 划片机 Wafer Dicing Saws 芯片控制与卡式系统 Die Control and Cassetting Systems 焊片机 Die Bonders 引线键合机 Wire Bonders 晶圆邦定机

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

45528c993FSC1.cvw

45528c993FSC1.cvw 股 票 代 碼 :6277 宏 正 自 動 科 技 股 份 有 限 公 司 財 務 季 報 表 民 國 九 十 九 年 及 九 十 八 年 九 月 三 十 日 ( 內 附 會 計 師 核 閱 報 告 ) 公 司 地 址 : 台 北 縣 汐 止 市 大 同 路 二 段 125 號 3 樓 電 話 :(02)8692-6789 ~1~ 目 錄 項 目 頁 次 一 封 面 1 二 目 錄 2 三 會 計

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

Microsoft Word _73987.doc

Microsoft Word _73987.doc 公司简评中国联通 (600050.SH,0762.HK) 2008 年 1 月 31 日 退税带来业绩大增, 预示重组脚步临近 谨慎推荐维持评级 分析师 : 王国平 :wangguoping@chinastock.com.cn :010-66568758 1. 事件公司 1 月 28 日发布业绩预告, 公司预计, 经财务部门测算 2007 年度公司实现归属于母公司所有者的净利润较 2006 年度增长

More information

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!"#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+, " $ 2 * ' /+ / / / (+ 5 (/(

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+,  $ 2 * ' /+ / / / (+ 5 (/( 第 # 卷第 # 期 # 年 月 =2>3(8 &27& "432"? @543A B 1%&# &&&( %&# 8-*%&&# & - % # CD%1/)* / % # # & # 9&,%&# " &%&./01 &-. # 9& ; ##&&&&&&&&&&&&&&&&&&&&&&& ( -& ; # #; ; & &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& 9CC1/)*

More information

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9>

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9> P/N: 品名 DY-U-001:UL80 105 PVC 电线绝缘料 ( 通用型 ) 适用于 标准额定耐温等级 80 105 的 PVC 电线绝缘材 料 ( 绝缘厚度大于 0.76MM, 导体截面积小于 20AWG 规格的电子线请选 择 DY-U-008 专用型 ) 产品符合欧盟 ROHS 2.0 REACH 等环保要求 Volume resistivoty 体积电阻率 Ω.m 1.0 10 11

More information

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13 [Table_MainInfo] 行业研究 / 信息设备 / 电子元器件 行业深度报告 证券研究报告 2016 年 09 月 12 日 [Table_InvestInfo] 投资评级增持维持 市场表现 [Table_QuoteInfo] 7681.50 6609.81 5538.11 4466.42 3394.73 电子元器件 2323.04 2015/8 2015/11 2016/2 2016/5

More information

bp.com/statisticalreview #BPstats 1 2 3 4 5 # 6 () 150 120 160 140 120 90 100 80 60 60 40 30 20 0 85 90 95 00 05 10 15 0 2.5 47.3 7.6 3.0 55.0 8.1 9.1 12.5 6.4 3.5 58.9 10.1 14.0 11.3 16.3 7.4 7.5 19.4

More information

2011_中国私人财富报告_PDF版

2011_中国私人财富报告_PDF版 211 l l l l l l l l l l 1 l l l l l 2 3 4 5 6 8 6 52 62 72 CAGR ( 8-9) 39% 16% 16% 9% 13% 16% CAGR (9-1) 19% 49% 44% 17% 26% 17% CAGR ( 1-11E ) 16% 28% 27% 26% 2% 18% 4 38 63% 21% 7% 2 19% 16% 16% 28 29

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information

Sector ― Subsector

Sector ― Subsector 机械设备 证券研究报告 板块最新信息 增持 公司名称 股票代码 收盘价 评级 北方华创 002371.CH 37.45 买入 晶盛机电 300316.CH 20.92 买入 长川科技 300604.CH 56.65 买入 至纯科技 603690.CH 19.49 买入 资料来源 : 万得, 中银证券以 2017 年 12 月 19 日当地货币收市价为标准 主要催化剂 / 事件 下游中芯国际等厂商技术持续突破

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了 2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了富士通 日立 东芝 NEC 等世界顶级的集成电路 制造商 ;2) 第二次 :20 世纪 80 年代中后期,

More information

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 ( 2018-02-26 TMT 芯片国产化大涨 : 政府大基金投入终 结果, 国产替代趋势不可逆 核心提示 芯片国产化指数大涨 : A 股芯片国产化概念板块 (884160.WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 (300474.SZ) 国科微(300672.SZ) 上海新阳 (300236.SZ) 北方华创(002371.SZ) 江丰电子 (300666.SZ)

More information

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074>

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074> 全球增速减缓, 中国风景独好 2008 年下半年半导体行业投资策略 天相资讯科技研究组赵磊 2008 年 6 月 21 半导体器件是元器件的重要组成部分 电子元器件行业的分类 半导体器件行业 : 1. 分为分立器件和集成电路 ; 2. 决定了电子产品的质量与性能 ; 3. 电子信息产业的重要组成部分 ; 4. 高科技 资本密集型行业 ; 5. 是信息产业的支柱 22 半导体产业产业链示意 我们通常提及的半导体产业除了半导体器件

More information

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2 CHI EF 镜头使用说明书 EF24-70mm f/4l IS USM 感谢您购买佳能产品! 使用注意事项 如果将镜头从寒冷的环境拿到温暖的环境中, 镜头表面和内部零件可能会发生结露 高温可能导致镜头故障 特点 安全注意事项 安全注意事项 请勿透过镜头或相机观看太阳或明亮的光源 无论镜头是否装在相机上, 请勿将没有盖上镜头盖的镜头置于太阳下 本说明中使用的符号 CHI-1 安全注意事项 2. 设置对焦模式

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

ABOUT CREAT 信誉等级 科锐公司连续被评为国内信誉等级最高的 AAA 级企业 ISO 9001 质量论证体系 2002 年通过了中国新时代认证中心 ISO9001:2000--GB/T 质量体系认证 2006 年通过了北京新世纪认证中心 ISO9001:2000--GB

ABOUT CREAT 信誉等级 科锐公司连续被评为国内信誉等级最高的 AAA 级企业 ISO 9001 质量论证体系 2002 年通过了中国新时代认证中心 ISO9001:2000--GB/T 质量体系认证 2006 年通过了北京新世纪认证中心 ISO9001:2000--GB ABOUT CREAT 信誉等级 科锐公司连续被评为国内信誉等级最高的 AAA 级企业 ISO 9001 质量论证体系 2002 年通过了中国新时代认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2006 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2008 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月 Tabl e_title Tabl e_baseinfo 2015 年 12 月 27 日 七星电子 (002371.SZ) 半导体究竟什么最赚钱 公告 :1 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权 发行股份价格为 17.49 元 / 股 2 上市公司拟通过向国家集成电路基 金 京国瑞基金和芯动能基金非公开发行股份募集配套资金 点评 : 我们在 14 年年中推出行业深度报告

More information

行业周报

行业周报 2016 年 08 月 21 日 行 业 研 究 评 级 : 推 荐 ( 上 调 ) 研 究 所 证 券 分 析 师 : 王 凌 涛 S0350514080002 021-68591558 wanglt01@ghzq.com.cn 联 系 人 : 李 虒 S0350115070033 18901056681 lis03@ghzq.com.cn 联 系 人 : 凌 琳 S0350116080013 18201805368

More information

01

01 Zebra 技术白皮书 零售业中的可跟踪性 降低 介质成本, 实现最佳价值 概要 简介 我想要的商品在哪里呢? 物品级标签带来了巨大优势 全面的库存管理 100% 2 Zebra (EAS)/ 实际结果 2009 1 27% 21% 53 2 209 提升顾客体验, 提高销售业绩 3 150 100 RF 1. : Bloomingdale 2009 2. 3. ABI Research 2009

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

企 业 性 质 : 有 限 责 任 公 司 住 所 : 昆 山 开 发 区 龙 腾 路 1 号 4 幢 法 定 代 表 人 : 陶 园 认 缴 注 册 资 本 : 302,982.901284 万 元 经 营 范 围 : 新 型 平 板 显 示 产 品 及 设 备 的 研 发 生 产 销 售 技 术

企 业 性 质 : 有 限 责 任 公 司 住 所 : 昆 山 开 发 区 龙 腾 路 1 号 4 幢 法 定 代 表 人 : 陶 园 认 缴 注 册 资 本 : 302,982.901284 万 元 经 营 范 围 : 新 型 平 板 显 示 产 品 及 设 备 的 研 发 生 产 销 售 技 术 证 券 简 称 : 华 夏 幸 福 证 券 代 码 :600340 编 号 : 临 2016-139 华 夏 幸 福 关 于 下 属 子 公 司 签 署 新 一 代 显 示 技 术 面 板 生 产 线 项 目 投 资 框 架 协 议 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并

More information

网上申购网下申购 公司所处地区 浙江杭州 网上申购日期 网上中签率公告 网上中签结果公告 网上资金解冻 网下申购日期 网下配售结果公告 网下资金退还 新股定价 附件 : 晶

网上申购网下申购 公司所处地区 浙江杭州 网上申购日期 网上中签率公告 网上中签结果公告 网上资金解冻 网下申购日期 网下配售结果公告 网下资金退还 新股定价 附件 : 晶 新股申购策略与新股上市定位 主办 : 财富管理中心 世纪证券 :www.csco.com.cn 资料来源 : 战略联盟 - 天相投资顾问有限公司 2012 年 5 月 1 日 电话 :0755-83199599-8151 风险提示 风险提示 : 尊敬的投资者 : 我们郑重提醒您理性看待市场, 没有只涨不跌的市场, 也没有包赚不赔的投资, 投资者应理解并始终牢记 买者自负 的原则与 股市有风险, 入市须谨慎

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

LPKFPCB LPKF ProConductProMask LPKF 1,800W mm (inch) 580/290/350 (22.8"/11.4"/13.8") mm (inch) 440/210/290 (17.3"/8.3"/11.4") PCB ProtoMask 35

LPKFPCB LPKF ProConductProMask LPKF 1,800W mm (inch) 580/290/350 (22.8/11.4/13.8) mm (inch) 440/210/290 (17.3/8.3/11.4) PCB ProtoMask 35 LPKF LPKF LPKF LPKF LPKF LPKF LPKF LPKF 的吸尘器噪音 适用于 ProtoMat S 系列和激光设备 ProtoLaser S U ProtoMat S ProtoLaser S U 22,500 Pa 241 m 3 /hour (142 cfm) 800W (230V/50Hz) 250mm/300mm/350mm (10"/12"/14") 噪音 50 db(a)

More information

学年第一学期临潼校区第十六周期末考试安排 考试时间 课程名称 班级 人数 考试地点 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级

学年第一学期临潼校区第十六周期末考试安排 考试时间 课程名称 班级 人数 考试地点 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C-154 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 2 班 29 C-154 12 月 17 日 9:50-11:50 国际市场营销学 (B) 市场营销 16 级 1 班 26 C-352 12 月 17 日 9:50-11:50 实用管理英语 人力资源管理

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 泛半导体产业的 卖铲人 七星电子 (002371) 深度报告 报告摘要 : 晶圆厂建设浪潮直接受益者 下游扩产引发半导体设备需求爆发, 预计在 2017 年上半年开始, 设备行业逐步进入订单爆发期 十三五期间, 半导体设备国产化率将提升至 30% 以上, 七星电子是集成电路领域氧化炉 清洗机 刻蚀机 PVD 等设备的唯一国内供应商, 是国内厂商蛋糕份额提高的直接受益者

More information

[table_page] 目录 一 沪深 300 指数选时 : 看多... 2 二 A 股资源指数选时 : 看多... 4 三 中证信息指数选时 : 看空... 5 四 中证 800 地产指数选时 : 看空... 6 五 中证 800 证保指数选时 : 看多... 7 六 中证 500 指数选时 :

[table_page] 目录 一 沪深 300 指数选时 : 看多... 2 二 A 股资源指数选时 : 看多... 4 三 中证信息指数选时 : 看空... 5 四 中证 800 地产指数选时 : 看空... 6 五 中证 800 证保指数选时 : 看多... 7 六 中证 500 指数选时 : 金融工程报告 交易技术 2014 年 12 月 22 日 鹏华基金指数产品择时周报风险在聚集 核心观点 : 择时观点 截至 2014 年 12 月 19 日, 鹏华基金指数产品中中证 800 证保 深圳民营 A 股资源指数 中证 500 沪深 300 中证传媒持看多判断, 上证民企 中证信息 800 地产持看空判断 鹏华基金九大指数产品的多空判断基于中国银河证券金融工程团队的选时系统, 该选时系统由多指标构成,

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor - TS

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor - TS Huahong Grace Semiconductor No. 288 Halei Road Zhangjiang High-Tech Park () Pilot Free Trade Zone 注册地址 : 中国 ( 上海 ) 自由贸易试验区祖冲之路 1399 号中国上海中国 ( 上海 ) 自由贸易试验区张江高科技园区哈雷路 288 号邮编 : Holds Certificate No: TS 613185-000

More information

内 容 提 要

内 容 提 要 CEPEA 中国电子专用设备工业协会 行业简讯 二〇一八年第十期 10 月 18 日发 协会办公室编印 ( 总第 369 期 ) ( 行业内交流 ) 内容提要行业动态 2018 年上半年中国半导体设备经济运行分析与 2018 年展望根据中国电子专用设备工业协会对国内 42 家主要半导体设备制造商的统计 :2018 年 1-6 月半导体设备完成销售收入 56.52 亿元, 同比增长 45.1%; 出口交货值完成

More information

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1

目录 1. 公司简介 : 国内半导体材料龙头企业 半导体材料 : 进口替代空间巨大, 受益下游产能大幅扩张 参股公司 300mm 大硅片项目将给公司带来丰厚的收益 公司持续高研发投入, 连续三次承接 02 专项 课题 投资建议... 1 化工行业 深度研究 公司研究 证券研究报告 推荐 ( 维持 ) 风险评级 : 中风险 2018 年 2 月 26 日投资要点 : 李隆海 SAC 执业证书编号 : S0340510120006 电话 :0769-22119462 邮箱 :LLH@dgzq.com.cn 主要数据 2018 年 2 月 26 日 收盘价 ( 元 ) 27.94 总市值 ( 亿元 ) 54.14 总股本 ( 亿股 ) 193.77

More information

长江精工(600496)

长江精工(600496) 公司简评青岛海尔 (600690.SH) 2008 年 8 月 25 日 优化销售结构提升盈利能力 推荐维持评级 分析师 : 朱力军 :zhulijun@chinastock.com.cn :010-66568273 1. 事件青岛海尔 (600690.SH) 近期公布了 2008 年半年报,2008 年上半年公司实现营业收入 18,902,489,554.96 元, 比去年同期增长 10.44%,

More information

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7 / / 证券研究报告 七星电子 (002371) 投资价值分析报告 收购北方微电子, 打造国产半导体设备龙头 公司研究 电子行业 2016 年 3 月 21 日买入 ( 首次 ) 投资要点 大基金 助力收购优秀半导体设备公司北方微电子 七星电子是 A 股唯一国产半导体设备公司, 是国内立式氧化炉和晶圆清洗机龙头 公司拟增发 9.24 亿元股票 以 2016 年 15 倍 PE 从母公司北京电控收购国内硅刻蚀

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

Microsoft Word _ doc

Microsoft Word _ doc 股票研究新股询价定价分析电子元器件 / 信息科技 七星电子 (002371) 国产集成电路制造设备主要提供商 魏兴耘 张慧 0755-23976213 021-38676715 weixy1@gtjas.com zhanghui6820@gtjas. 本报告导读 : 公司是国产集成电路制造设备主要提供商, 在军用混合集成电路和高密度阻容元件领 域具有优势投资要点 : 公司主要产品为大规模集成电路制造设备

More information