Sector ― Subsector

Size: px
Start display at page:

Download "Sector ― Subsector"

Transcription

1 机械设备 证券研究报告 板块最新信息 增持 公司名称 股票代码 收盘价 评级 北方华创 CH 买入 晶盛机电 CH 买入 长川科技 CH 买入 至纯科技 CH 买入 资料来源 : 万得, 中银证券以 2017 年 12 月 19 日当地货币收市价为标准 主要催化剂 / 事件 下游中芯国际等厂商技术持续突破 物联网 IoT AR/VR 车载等市场的爆发 半导体设备厂商新产品的推出 相关研究报告 备战 2018 千亿装机 大年, 国产半导体设备如沐春风 长川科技 : 专注半导体检测设备, 具备长期成长潜力 中银国际证券有限责任公司具备证券投资咨询业务资格 机械设备 : 电子专用设备 杨绍辉 * (8621) shaohui.yang@bocichina.com 证券投资咨询业务证书编号 :S * 陈祥为本报告重要贡献者 2017 年 12 月 22 日 半导体设备行业深度报告 装机大年到来, 国产设备随 芯 崛起 作为先进制造的典型, 半导体产业正在经历深刻变化 : 以巨大市场为引力, 在政策和基金双重机制保驾护航下, 半导体产业发展驶入快车道, 加速向中国转移进程 中国大陆加速投资半导体晶圆制造生产线, 年有望迎来装机热潮, 为国产设备提供爆发式增长的历史性机遇 国内设备产品技术追赶已取得初步成果, 部分机台已具备进口替代的能力, 有望率先享受下游扩产红利, 迎接设备需求高峰 重点推荐产品线最丰富 覆盖晶圆制造核心设备 20% 价值量的北方华创, 专注半导体领域高纯工艺系统的集成供应商至纯科技, 以光伏为基础谋求半导体市场爆发的晶盛机电, 同时推荐检测设备唯一标的长川科技, 关注超净间系统供应商亚翔集成 支撑评级的要点 信息安全 市场需求 进口替代是国内发展半导体产业的内在动力 半导体产业关乎国家信息安全, 至关重要 下游市场迅速扩张, 叠加产业转移促使中国大陆半导体市场迅速发展, 已成为成长速度最快的主体市场 晶圆厂产能向我国大陆转移 半导体产业的全球格局稳中含变, 稳在欧美 日韩 台湾依然是半导体先进国家 ( 地区 ), 变在中国大陆正在加速追赶, 部分企业已进取第一梯队, 以及在半导体设备领域进口替代正在进行 据 SEMI 统计, 未来几年中国大陆计划投资的晶圆厂有 26 座, 超过全球计划建设项目的四成 半导体设备全球市场回暖 根据 SEMI 预计,2017 年有望达到 559 亿元, 同比增长 36%, 创下历史新高 ;2018 年有望达到 600 亿美元的规模, 再创新高 全球前十大半导体设备厂商的市场占有率超过 90%, 处于寡头垄断局面 国内半导体产业的发展带动了整个产业链的投资需求, 半导体设备率先获益 近年来中国半导体设备投资增速全球第一, 投资额逐年提高, 全球占比逐年增加, 保持全球占比前三名 据推算,2017 年投资额或将达到 70 亿美元, 达到近 5 年的峰值, 对国产设备切入提供历史性机遇 国内半导体设备国产化率低, 但前景乐观 国内 35 家半导体设备企业, 2017 年设备销售额有望达到 76 亿元左右 ( 相较于 2016 年 亿元, 增长 33%), 国产化率达 16%, 仍有较大提高空间 国产设备厂家主要包括北方华创 中微 中微半导体设备公司 上海微电子设备研究所 中电科技集团公司 晶盛机电 沈阳拓荆 盛美半导体 沈阳芯源 和长川科技等 重点推荐北方华创 至纯科技 长川科技 晶盛机电 评级面临的主要风险 半导体设备研发不及预期, 新建晶圆厂进度低于预期 重点推荐 北方华创 ( CH): 产品线最丰富 覆盖晶圆制造核心设备 20% 价值量, 体量最大的半导体设备公司, 首次给予买入评级 至纯科技 ( CH): 战略主攻半导体晶圆厂市场, 有望实现高纯工艺系统的进口替代, 有望充分受益此轮半导体晶圆厂扩建的浪潮, 首次给予买入评级 晶盛机电 ( CH): 硅生长设备龙头公司, 抓住光伏产业复苏浪潮, 紧盯半导体设备市场, 蓄势待发, 等待下游需求的放量, 首次给予买入评级

2 目录 名词解释... 7 投资摘要... 9 半导体产业景气度高, 市场 政策双引擎驱动国产化... 9 半导体产业加速向大陆转移, 为设备国产化带来大机遇... 9 半导体国产设备方兴未艾, 进口替代是大势所趋 重点推荐北方华创 长川科技 晶盛机电 至纯科技 一 半导体器件分类细分市场 半导体终端产品 : 应用广泛且至关重要 半导体器件市场规模 : 集成电路产业占大头 大产值半导体器件 : 存储器与逻辑芯片 二 半导体行业迎来向上大周期 全球半导体产业景气度向上 新元素催生本轮电子大周期 创造性技术变革带来市场新发展 三 中国半导体产业发展黄金时机 半导体产业加速向中国转移 中国大陆半导体各环节齐头并进 中国大陆掀起晶圆厂建设热潮,18-19 年有望迎来抢装潮 四 集成电路产业链和制造工艺及设备 集成电路产业链 : 涉及领域广, 规模巨大 硅晶圆生产 : 单晶炉是关键设备 集成电路制造复杂, 技术含量高, 设备难度大 封装测试 : 半导体后段制程, 根据产品需求设计 五 中国半导体设备行业方兴未艾 投资需求增加, 设备率先受益 半导体设备全球竞争格局 : 呈现寡头垄断 中国大陆政策 + 资金, 助力半导体产业发展 年 12 月 22 日半导体设备行业深度报告 2

3 5.4 设备国产化正当时, 新秀公司全面崛起 国内半导体设备产业投资值得关注的方向 风险提示 北方华创 晶盛机电 至纯科技 研究报告中所提及的有关上市公司 年 12 月 22 日半导体设备行业深度报告 3

4 图表目录 图表 1. 估值表 图表 2. 电子产品集成多个 IC 模块 图表 3. 手机与 PC 采用集成电路产品情况对比 图表 4. 不同类别存储器对比 图表 5. 半导体产品分类及 2016 年各细分市场规模 图表 预测 IC 市场增长前五情况 图表 Q1-2017Q2 DRAM 与 NAND Flash ASP 售价季环比涨幅.. 14 图表 8. 三大 DRAM 厂商 2017 扩产计划 图表 9. DRAM 产能与需求增长比较 图表 10. 3D NAND 闪存工艺制程时间表 图表 11. 主要 NAND Flash 厂商产能情况 图表 年 Intel 与 AMD 在 PC 处理器市占率的变化 图表 13. 美国费城半导体指数 SOX 整体走高 图表 14. 全球半导体销售额呈上涨趋势 图表 年半导体市场增速和全球 GDP 增速接近正相关 19 图表 16. 半导体产业推动力发展变化 图表 年全球物联网芯片市场规模不断扩大 图表 18. AI 概念企业数及融资额大幅增长 图表 全球 OLED 应用市场规模 图表 年大数据市场规模有望达 970 亿美元 图表 年智能汽车市场空间不断扩展 图表 22. 传统晶体管与 FINFET 对比 图表 23. 传统晶体管与 FINFET 对比 图表 24. 传统 2D NAND 与 3D NAND 对比 图表 25. 传引线键合与 TSV 工艺对比 图表 26. 半导体产业加速向中国转移 图表 27. 中国半导体产业销售额稳步增长 图表 28. 中国半导体三大环节行业均呈现良好发展态势 图表 29. 中国半导体设计业进入稳定增长期 图表 年中国芯片设计业前十名企业销售总额达 700 亿元. 28 图表 年中国芯片制造业市场规模首破千亿 图表 年中国芯片制造业十大企业销售总额达 827 亿元 图表 33. 中国封测业增长态势平稳 年 12 月 22 日半导体设备行业深度报告 4

5 图表 年中国封测业十大企业销售额接近 700 亿元 图表 35. 规划建成的晶圆厂中四成以上在中国大陆 图表 36. 规划中的晶圆代工厂和存储器产线居多 图表 37. 大陆规划新建的 12 寸晶圆厂, 2-3 年内迎来建设高峰 图表 38. 大陆规划新建的 8 寸晶圆厂 图表 39. 集成电路产业主要参与者 图表 40. 集成电路产业链 图表 41. 晶圆的形态 : 单晶硅棒 单晶硅片和单晶方棒 图表 42. 硅晶生长方法 图表 43. 单晶炉结构图 图表 44. 直拉法 (CZ 法 ) 区熔法(Fz 法 ) 的比较 图表 45. 集成电路制造工艺的流程示意图 图表 46. 集成电路制作主要工艺流程 图表 47. 集成电路制作的核心工艺及设备 图表 48. 四种薄膜淀积工艺对比 图表 49. 全球范围公司销售的 CVD 设备的市占率 图表 50. 四种 CVD 系统对比 图表 51. 荷兰 ASML Twinscan 光刻机简易工作原理图 图表 52. 荷兰 ASML EVU 光刻机, 缩小制程的最关键设备 图表 53. 荷兰 ASML 全球市占率最高, 处垄断地位 图表 54. 干法刻蚀与湿法刻蚀对比 图表 55. 金属刻蚀 介质刻蚀和硅刻蚀对比 图表 56. 刻蚀机全球公司市占率 图表 57. 化学机械研磨示意图 图表 58. CMP 全球设备公司市占率 图表 59. 热扩散与离子注入工艺优缺点比较 图表 60. 离子注入系统示意图 图表 61. 封装测试流程图 图表 62. 封装形式演变历史, 先进封装是趋势 图表 63. 三种封装工艺对比 图表 64. 不同材料封装适用工艺 图表 65. 先进封装技术对比表格 图表 66. 扇入型与扇出型结构对比 图表 67. 传统芯片集成与 SiP 对比 图表 68. 先进封装工艺趋势 年 12 月 22 日半导体设备行业深度报告 5

6 图表 69. 全球半导体设备投资额呈向上增长趋势 图表 70. 中国半导体设备市场规模逐年上升 图表 71. 中国半导体市场占全球的比重逐渐提高 ( 年 ) 52 图表 72. 中半导体生产线投资, 设备占比 70% 图表 73. 半导体核心设备价值量占比, 光刻机占比最大 图表 74. 晶圆厂运输系统示意图 图表 75. 国外龙头公司占据各类设备绝大多数市场份额 图表 76. 全球半导体设备龙头集中在欧美和日本 图表 77. 美 日 荷三国在不同领域各有所长 图表 78. 中国政策持续出台, 助力半导体产业发展 图表 79. 半导体产业相关政策密集, 发展环境优越 图表 80. 大基金一期投资进入尾声, 二期呼之欲出 图表 81. 配套地方政府半导体专项基金 图表 年已有 9 支地方政府基金逾 3800 亿元参与投资 图表 83. 大基金的投资策略 : 制造 设计 测封领域各有重点 图表 84. 大基金投资细分行业金额占比, 制造业超过六成 图表 85. 大基金投资的主要公司 图表 86. 我国半导体设备厂商集中度较高, 主要分布一线城市 图表 年前 10 名半导体设备供应商销售收入均过亿 图表 88. 国产设备正加速追赶国外工艺水平 图表 英寸国产半导体设备已成功研发 图表 专项部分科研成果 图表 专项助力中国半导体设备的发展 图表 专项资助的项目, 多已进入正线生产 图表 专项资助 14nm 工艺设备已提前研发 图表 年我国半导体设备进口产品结构 图表 年北方华创持续推出新产品和开拓新市场 图表 96. 晶圆厂建设周期时间轴 图表 97. 中国大陆目前正在建设的晶圆厂 图表 98. 国产先进封装生产线封测设备 年 12 月 22 日半导体设备行业深度报告 6

7 名词解释 IC: 集成电路 (integrated circuit), 是采用一定的工艺, 把各种元件及布线互连一起, 制作在一小块或几小块半导体晶片或介质基片上, 然后封装在一个管壳内, 成为具有所需电路功能的微型结构 Wafer: 晶元 (Wafer), 是生产集成电路所用的载体, 多指单晶硅圆片 是最常用的半导体材料, 按其直径分为 4 英寸 8 英寸 12 英寸等 晶元越大, 同一圆片上可生产的 IC 就越多, 可降低成本, 但对材料技术和生产技术的要求更高 CPU: 中央处理器 (Central Processing Unit), 是一块超大规模的集成电路, 是计算机的运算核心和控制核心, 由运算器 高速缓冲存储器和总线三部分组成 GPU: 图形处理器 (Graphics Processing Unit), 又称显卡, 是一种专门在个人电脑 工作站 游戏机和一些移动设备 ( 如平板电脑 智能手机等 ) 上图像运算工作的微处理器, 承担输出显示图形的任务 ROM: 只读存储器 (Read-Only Memory), 是一种只能读出事先所存数据的固态半导体存储器 其特性是一旦储存资料就无法再将之改变或删除 通常用在不需经常变更资料的电子或电脑系统中, 并且资料不会因为电源关闭而消失 RAM: 随机存取存储器 (random access memory) 又称作 随机存储器, 存储单元的内容可按需随意取出或存入, 且存取的速度与存储单元的位臵无关的存储器 这种存储器在断电时将丢失其存储内容, 故主要用于存储短时间使用的程序 按照存储单元的工作原理, 随机存储器又分为静态随机存储器 ( 英文 :Static RAM,SRAM) 和动态随机存储器 ( 英文 Dynamic RAM,DRAM) DRAM: 动态随机存取存储器 (Dynamic Random Access Memory), 即最为常见的系统内存 DRAM 只能将数据保持很短的时间 为了保持数据,DRAM 使用电容存储, 所以必须隔一段时间刷新 (refresh) 一次, 如果存储单元没有被刷新, 存储的信息就会丢失 ( 关机就会丢失数据 ) NAND: 一种比硬盘驱动器更好的存储设备, 具有非易失性存储技术, 即断电后仍能保存数据 它的功耗更低 重量更轻和性能更佳, 在不超过 4GB 的低容量应用中表现得犹为明显 3D NAND: 英特尔和镁光的合资企业所研发的一种新兴的闪存类型, 通过把内存颗粒堆叠在一起来解决 2D 或者平面 NAND 闪存带来的限制 3D NAND 垂直堆叠了多层数据存储单元, 可打造出存储容量比同类 NAND 技术高达三倍的存储设备, 并且具备卓越的精度 Flash: 闪存 (Flash Memory) 是存储芯片的一种, 通过特定的程序可以修改里面的数据 它结合了 ROM 和 RAM 的长处, 不仅具备电子可擦除可编程 (EEPROM) 的性能, 还可以快速读取数据 (NVRAM 的优势 ), 使数据不会因为断电而丢失 AI: 人工智能 (Artificial Intelligence) 是计算机科学的一个分支, 它企图了解智能的实质, 并生产出一种新的能以人类智能相似的方式做出反应的智能机器, 该领域的研究包括机器人 语言识别 图像识别 自然语言处理和专家系统等 VR: 虚拟现实技术 ( Virtual Reality) 是一种可以创建和体验虚拟世界的计算机仿真系统, 它利用计算机生成一种模拟环境, 是一种多源信息融合的 交互式的三维动态视景和实体行为的系统仿真使用户沉浸到该环境中 2017 年 12 月 22 日半导体设备行业深度报告 7

8 IoT: 物联网 (Internet of things) 指物物相连的互联网 是在互联网基础上的延伸和扩展的网络, 其用户端延伸和扩展到了任何物品与物品之间, 进行信息交换和通信, 也就是物物相息 IDM: 整合元件制造商 (Integrated Design and Manufacture), 是指在半导体芯片行业像英特尔这种, 从设计, 到制造 封装测试以及投向消费市场一条龙全包的企业 Fabless: 半导体行业的设计公司, 只负责芯片设计部分, 例如 ARM 公司 AMD 高通博通等 Foundry: 半导体芯片代工厂, 只有 fab, 不做设计, 常见的台积电等 PVD: 物理气相沉积 (Physical Vapor Deposition), 指利用物理过程实现物质转移, 将原子或分子由源转移到基材表面上的过程 CVD: 化学气相沉积 (Chemical Vapor Deposition), 是通过化学反应的方式, 利用加热 等离子激励或光辐射等各种能源, 在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉积物的技术 Etch: 蚀刻 (etching), 是将材料使用化学反应或物理撞击作用而移除的技术 蚀刻技术可以分为湿蚀刻 (wet etching) 和干蚀刻 (dry etching) 两类 ALD: 原子层沉积 (Atomic layer deposition) 是一种可以将物质以单原子膜形式一层一层的镀在基底表面的方法 2017 年 12 月 22 日半导体设备行业深度报告 8

9 投资摘要 半导体产业景气度高, 市场 政策双引擎驱动国产化 1. 拥抱信息时代, 新一代智能产品推动半导体需求快速增长 在信息爆发的时代, 消费者对数据处理和存储的需求越发强烈 近年来, 传统 PC 等关联产品, 手机 平板电脑等移动产品是半导体需求扩张的主要动力, 如今,IoT AI VR 大数据 智能汽车等跟多智能产品有望接力半导体产业增长的动力引擎 2. 中国半导体产业链完整, 下游客户优质和需求旺盛, 市场空间巨大 全球半导体市场呈增长趋势, 其中中国是需求最大的国家 2017 年中国 IC 产业的整体销售额有望超过 5000 亿元大关, 同比增长 20% 以上 半导体产业下游优质客户如手机终端厂商 面板厂商 家电巨头 物联网 5G 运营商等均拉动了国内对芯片的需求日益增长 中国半导体产业链布局完整, 芯片设计 制造和封装企业全覆盖,2016 年三个环节均超过千亿销售额,17 年有望分别实现 2100 亿元,1400 亿元,1800 亿元 ; 产业链中上游材料和设备公司多有布局, 目前多处于快速追赶阶段 3. 产业政策和大基金切实支持, 半导体全产业链良性发展 首期千亿规模国家集成电路产业投资基金已接近尾声, 筹备中的二期有望在 18 年推出 大基金一期带动地方政府基金超过 6000 亿, 共投资 55 个项目, 覆盖集成电路设计 制造 封装测试 装备 材料 生态建设等各环节, 给国内半导体产业带来历史性的发展机遇 此外, 国家大基金及地方政府基金中将超过 50% 资金投入至晶圆制造环节, 且通过晶圆制造项目投资承诺与国产装备采购挂钩等方式进一步推动国内制造企业和装备企业的合作 半导体产业加速向大陆转移, 为设备国产化带来大机遇 机遇 1: 新建晶圆厂产线近四成位于中国大陆 据 SEMI 统计, 目前全球晶圆厂也处于大量扩建和增产的阶段, 预计将于 2017 至 2020 年间投产的半导体晶圆厂约为 62 座, 其中 26 座位于中国, 占全球总数 42% 机遇 2: 国内在建产线未来 2-3 年有望迎来设备 抢装潮, 投资需求有望超过 2,000 亿元 据我们统计,26 座规划的晶圆厂中已有 7 座陆续动工开始建设, 按照经验, 未来 2-3 年设备投资有望集中爆发 按照通常 1K 片 / 月产能对应固定资产投资约 1 亿美元, 其中设备投资占比 70% 未来半导体设备投资需求有望超过 2000 亿元, 为本土设备制造业提供了巨大的市场空间和最佳的切入契机 机遇 3: 国内半导体设备爬坡, 订单或将成倍增长 据企业介绍, 当前国产设备替代呈现爬坡式增长, 即按照 小规模试验线 > 单台进入量产线 > 多台逐步取代进口 的形式, 由于起步较晚, 国产设备从测试通过 进入量产线到真正实现大批量替代, 需要经历较长时间 目前已有多种国产设备得到客户认可, 供应呈现成倍增长态势 2017 年 12 月 22 日半导体设备行业深度报告 9

10 半导体国产设备方兴未艾, 进口替代是大势所趋 趋势 1: 技术突破是拥抱市场的最佳利器 由于国外设备的禁运和技术封锁, 我国半导体产业在先进制程上的制造能力受限, 产品空缺, 由此增加设备国产化的迫切需求 中国集成电路装备企业的部分产品已具备在成熟制程产线量产的能力, 且目前国际装备厂商普遍因为近期需求暴增而提高装备价格, 延长交货周期, 从而给国产装备更多切入机会 趋势 2: 前瞻性布局是发展的坚实基础 近年来, 按照 国家集成电路发展推进纲要 中 设计为龙头 制造为基础 装备和材料为支撑 的基调, 国家在资金 政策 融资 人才等方面为集成电路企业提供重大支持, 现已建立较完整的体系, 产业链培育和布局基本完成 当前, 本土设备厂商产品基本覆盖半导体产业的各个环节, 且分布在不同公司, 形成了良性的市场格局 趋势 3: 客户认可是国产设备实现进口替代的良好保证 以中微 北方华创 盛美 荆拓 芯源为代表的中国集成电路装备企业, 部分产品不仅进入国内主流客户的大生产线, 还通过了国际大厂的生产线验证, 并获得批量订单 如中微半导体成功研发刻蚀机和 MOCVD 设备, 打破国外垄断格局, 公司产品市占率不断提高, 其中 MOCVD 在国内蓝光 LED 新增市场市占率已经超过 80% 北方华创立式氧化炉产品首次进入长江存储公司,PVD 机台也获得联电 ( 厦门联芯 ) 和力晶 ( 合肥晶合 ) 的订单, 盛美 12 吋晶圆兆声波清洗机台打入了海力士的量产线, 芯源显影设备被台积电 ( 南京 ) 一次性采购 16 台 重点推荐北方华创 长川科技 晶盛机电 至纯科技 图表 1. 估值表 股票代码 公司名称 股价 ( 人民币, 元 ) 总市值 ( 亿元 ) 每股收益 ( 元 ) 市盈率 ( 倍 ) 投资评级 2017E 2018E 2019E 2017E 2018E 2019E CH 北方华创 买入 CH 晶盛机电 买入 CH 长川科技 买入 CH 至纯科技 买入 CH 亚翔集成 未有评级 平均 资料来源 : 万得 中银证券, 以 2017 年 12 月 19 日收市价为标准, 亚翔集成采用 wind 一致预期数据 2017 年 12 月 22 日半导体设备行业深度报告 10

11 一 半导体器件分类细分市场 1.1 半导体终端产品 : 应用广泛且至关重要 半导体器件产品主要分为集成电路产品 传感器 分立器件和光电器件几大类 半导体芯片是在硅板上集合多种电子元器件实现某种特定功能的电路模块 它是电子设备中最重要的部分, 承担 控制大脑 的角色, 一般负责运算和存储的功能 集成电路的应用范围覆盖了军工 民用的几乎所有的电子设备 从终端产品出发, 常见的手机和 PC 配臵的集成电路产品有所不同 一台手机通常需要 1 个 CPU 1 个 RAM 内存 1 个 ROM 存储和 1 个 NAND Flash 存储等产品, 一台 PC 则需要 1 个 CPU 1 个 GPU 1 个 ROM 存储和 1 至多个硬盘和其他相关配件 图表 2. 电子产品集成多个 IC 模块 资料来源 : 谷歌图片, 中银证券 图表 3. 手机与 PC 采用集成电路产品情况对比 手机 PC CPU*1 CPU*1,GPU*1 RAM 内存 内存条 *2~6 ROM *1 ROM*1 NAND FLASH 存储 *1 硬盘 *1~7 电源管理芯片 *1~2 电源管理芯片 *1 Wi-Fi, 蓝牙,FM 收音机模组 *1 网卡 ( 通信适配器 )*1 其他包括 : 镜头传感器 NFC 模块 声卡 调制解调器 射频发射器 功率放大器 资料来源 : 谷歌, 中银证券 集成电路 (Integrated Circuit,IC) 细分产品介绍集成电路产品分为模拟 IC 与数字 IC 两大类, 数字 IC 分为数字逻辑 IC 储存器和微型元件 模拟 IC 主要用于处理光 声音 速度 温度等连续信号, 产品包括放大器 比较器 信号转换等, 可应用于通信 汽车 PC 和消费电子等领域 模拟 IC 强调高信噪比 低失真 低耗电 高可靠性和稳定性, 产品生命周期较长, 可达十年以上 在工艺上, 模拟 IC 需要高电流或电压, 因此较少使用 CMOS 工艺, 多采用双极 BiCMOS 或 BCD 等工艺 2017 年 12 月 22 日半导体设备行业深度报告 11

12 微型元件主要包括微处理器与微控制器, 两者均由英特尔公司首次推出 微处理器 (Microprocessor) 是由一片或少数几片大规模集成电路组成的中央处理器, 与传统的中央处理器相比, 具有体积小 重量轻和容易模块化等优点, 根据应用领域不同, 可分通用高性能微处理器 嵌入式微处理器和数字信号处理器 微控制器 数字逻辑 IC 根据工艺可分为双极性 CMOS 与 BiCMOS 三类 双极性数字逻辑 IC 以晶体管工艺为基础, 其中的 TTL 电路速度快, 驱动能力强, 是早期应用较广泛的数字逻辑 IC CMOS 数字逻辑 IC 以 MOSFET 构造为主, 在待机状态下不消耗电源电流, 功耗小, 抗干扰能力好, 但无法驱动重负载 BiCMOS 数字逻辑 IC 将 CMOS 和双极器件同时集成在同一块芯片上, 既具有 CMOS 电路高集成度 低功耗的优点, 又获得了双极电路高速 强电流驱动能力的优势, 有望成为未来的数字逻辑 IC 主流 半导体存储器根据功能不同, 可分为随机存取存储器 (Random-Access Memory, RAM) 只读存储器(Read-Only Memory,ROM) 和闪存 (Flash EEPROM Memory) 图表 4. 不同类别存储器对比 存储器类别 SRAM DRAM ROM NORFlash NANDFlash 是否易失性 是 是 否 否 否 存储内容是否固定否是是 ( 是否可多次擦写 ) ( 只读 ) 是 是 寿命 ( 擦写次数 ) 基本不坏 基本不坏 0 十万次 每块 100 万次 速度 最快 比 SRAM 慢比 DRAM 慢读取速度写入速度比比 ROM 快比 Flash 快比 NAND 快 NOR 快很多 成本 最高 比 SRAM 便宜 比 NAND 高 比 NOR 低 资料来源 : 公开资料, 中银证券 RAM 与中央处理器直接交换数据, 也叫内存 RAM 可以随时读写, 速度很快, 通常作为操作系统或其他正在运行中的程序的临时数据存储媒介, 但是 RAM 在断电时将失去其存储内容 按照存储单元工作原理, 随机存储器又分为静态随机存储器 (Static RAM,SRAM) 和动态随机存储器 (Dynamic RAM,DRAM) ROM 结构简单, 读出方便, 用于储存各种固定程序与数据 其特点是只能读出, 不能随意写入信息, 但存储数据稳定, 断电后也其存储内容不会丢失 除少数品种的只读存储器 ( 如字符发生器 ) 可通用以外, 不同用户所需只读存储器的内容不同 为便于使用和大批量生产, 进一步发展出了可编程只读存储器 (PROM) 可擦可编程序只读存储器(EPROM) 和带电可擦可编程只读存储器 (EEPROM) 闪存 Flash 是一种长寿命的非易失性存储器, 是 EEPROM 的变种, 常用于 U 盘和 MP3 闪存结合了 ROM 和 RAM 的长处, 不仅不会断电丢失数据, 同时可以快速读取数据 闪存的数据改写方式是块擦除, 而不像 RAM 一样以字节为单位改写数据, 因此不能取代 RAM 根据技术不同, 闪存分为 NOR Flash 和 NAND Flash 1.2 半导体器件市场规模 : 集成电路产业占大头 2016 年全球半导体销售额达 3389 亿美元, 其中集成电路占据 80% 以上份额, 销售额达 2767 亿美元, 其次是光电器件, 销售额 319 亿美元, 占比 9.4% 2017 年 12 月 22 日半导体设备行业深度报告 12

13 图表 5. 半导体产品分类及 2016 年各细分市场规模 资料来源 : 中国产业信息网, 中银证券 1.3 大产值半导体器件 : 存储器与逻辑芯片 目前, 年均市场规模超过百亿美元产值的半导体产品主要有存储器 (Memory) 逻辑运算处理器(CPU) 电源管理芯片(Power Management Integrated Circuits) 等 存储器市场最新产值超 1200 亿美元, 规模最大, 对先进制程敏感, 呈高度垄断格局 IC Insights 预测,2017 年全球存储 DRAM 市场产值将达 720 亿美元, 增长幅度达 55%, 位居集成电路行业产值第一, 存储 FLASH 市场产值达 498 亿美元, 增长幅度达 35% 在 DRAM 市场, 三星 海力士 美光占据 85% 以上市场份额, 寡头垄断格局 由于存储器元件周期性排列, 电路具有高度重复性, 结构简单, 因此对制程工艺更敏感, 制程越先进, 存储器单位大小容量越大, 性能越好, 越具有市场竞争力 因此, 存储器市场成高度垄断格局, 拥有最先进制程工艺的厂商占据绝大部分市场份额 图表 预测 IC 市场增长前五情况 产品类别 E DRAM -8% 55% Auto Spcl Prupose Logic 4% 48% NAND Flash 17% 35% Industrial/Other Spcl Purpose Logic 7% 32% Anto App-Specific Analog 17% 18% 资料来源 :IC Insights, 中银证券 2016 年下半年至今,DRAM 与 NAND Flash 平均售价连续上涨, 并将持续缺货至 2018 年 2016 年 4 月 DRAM ASP 为 2.41 美元,2017 年 1 月 ASP 达到 3.60 美元, 涨幅达 49% 2017 年 12 月 22 日半导体设备行业深度报告 13

14 图表 Q1-2017Q2 DRAM 与 NAND Flash ASP 售价季环比涨幅 25% 20% 15% 10% 5% 0% -5% -10% -15% 22.80% 21.50% 19.00% 21.10% -1.20% 11.20% 3.70% 8.90% 5.00% % -3.00% -6.80% 1Q16 2Q16 3Q16 4Q16 1Q17 2Q17 DRAM NAND 资料来源 :IC Insights, 中银证券 DRAM 价格上涨的原因主要有 :1. 国产智能手机出货量的提升以及新建数据中心的服务器等增加了对于 DRAM 的需求量, 同时智能手机高端化导致对 DRAM 容量需求的提升 ;2.DRAM 制程接近物理极限, 三星 海力士等龙头厂商在制程转向 20 纳米 ( 含 ) 以下时技术研发难度增加, 供应出现暂时性短缺 ;3. 存储厂商将资本更多的转向 3D NAND Flash, 进一步加剧 DRAM 短缺 在 DRAM 市场, 三星 海力士 美光三家制程工艺均已经进入 20 纳米 三大 DRAM 厂商有计划部分扩建, 缺货状态将持续至 2018 年下半年,DRAM 价格将继续上涨, 但幅度减缓 根据目前三大厂商产能规划, 三星将原本计划用于扩大存储器产能的 Line18 转向晶圆代工, 海力士 美光的资本支出只用于 1x/1y 纳米制程升级, 三大 DRAM 厂决定通过现有厂房提高投片量, 或通过制程微缩来增加产能, 均没有任何兴建新 DRAM 厂计划 三星计划在平泽半导体厂二楼移入 DRAM 生产设备, 可新增月产能 10 万 ~12 万片 三星还可能在 Line17 现有产能中挤出 4~5 万片 DRAM 产能, 但相关产能应在 2018 年下半年产出 SK 海力士本年度 9.6 兆韩元 ( 约 86 亿美元 ) 的资本支出中, 大部分用于技术升级, 产能仅增加 3%~5%, 约在 2018 年第四季度产出 同时,SK 海力士将在 M14 厂增加 2 万片的产能, 将在 2018 年下半年产出 美光目前的投资重点在于 DRAM 制程由 20 纳米转入 17 纳米, 新产能最早将于 2018 年年底产出 图表 8. 三大 DRAM 厂商 2017 扩产计划 资料来源 : 集邦科技, 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 14

15 目前, 全球 DRAM 晶圆月产能约 110 万片 (12 寸晶圆计 ), 三星月产能约 40 万片,SK 海力士月产能约 30 万片, 美光月产能 24.5 万片 2018 年产能供应增长 19%, 而需求端增长达 20%,DRAM 缺货状态将持续至 2018 年下半年,DRAM 的平均售价将继续上涨 但由于三星与海力士近阶段的扩产计划, 将会适当缓解 DRAM 供不应求的局面,DRAM 的平均售价涨幅将有所减缓 图表 9. DRAM 产能与需求增长比较 现有产能 ( 万片 / 月 ) 2018 年产能增加 ( 万片 / 月 ) 产能增速 (%) 需求增速 (%) 三星 海力士 美光 DRAM 合计 资料来源 : 公开资料, 中银证券 在 NAND Flash 闪存市场, 三星 东芝 闪迪 英特尔 SK 海力士 美光占据主要市场, 六家闪存颗粒制造商的闪存产能占据 NAND Flash 闪存市场近 9 成的比例, 几乎所有工艺的创造和升级, 都由以上几家主导 目前最先进的 3D NAND Flash 闪存制程已经达到 10 纳米级别 图表 10. 3D NAND 闪存工艺制程时间表 资料来源 :Yangtoo Memory,Samsung Securities, 中银证券 NAND Flash 价格上涨的主要原因有 :1. 厂商在 2D NAND 向 3D NAND 的制程转换中, 实际良率较低, 不及预期 ;2. 2D NAND 产能缺失, 同时市场备货不足, 供不应求 目前, 全球 NAND Flash 月产能约 148 万片, 三星月产能 51 万片, 海力士月产能 22 万片, 东芝月产能 51 万片, 美光月产能 24 万片 2017 年第 4 季度, 三星 Fab18 将开始出货 3D NAND Flash 产品, 后续产能将继续开出 同时, 国内紫光集团兴建 3D NAND 厂, 三星未来 3 年将投资 70 亿美元用于西安工厂扩产, 以及东芝 海力士新厂将在 2018 年陆续投产, 目前 NAND Flash 供不应求的局面可能在 2018 年年底转变为供过于求 2017 年 12 月 22 日半导体设备行业深度报告 15

16 2004Q1 2004Q4 2005Q3 2006Q2 2007Q1 2007Q4 2008Q3 2009Q2 2010Q1 2010Q4 2011Q3 2012Q2 2013Q1 2013Q4 2014Q3 2015Q2 2016Q1 2016Q4 图表 11. 主要 NAND Flash 厂商产能情况 Flash 厂商 目前主要 2016 主流 2017 主流月产能投产时间投产工厂生产工艺生产工艺 / 万片 Fab 12 14nm/16nm 14nm MP 15 Fab 16 14nm/16nm 14nm/48 层 V-NAND 部分切换 48 层 V-NAND 22 三星 中国西安厂 32 层 V-NAND 48 层 V-NAND 48L 切换中 11 Fab 17 未量产 64 层 V-NAND 2017 年 Q1 试产 3( 初期 ) Fab 18 未量产 64 层 V-NAND 2017 年 Q2 试产 5( 初期 ) Fab 3 A19nm/15nm 未知 Fab 4 A19nm/15nm 未知 东芝 / 西部数据 Fab 5 15nm/48 层 3D NAND Fab 5 二期 48 层 3DNAND 64 层 3D NAND Fab 2 48 层 3DNAND 64 层 3D NAND ( 初期 ) Fab 6 未量产 64 层 3D NAND 2018 未知 MTV 16nm 16nm 美光 IMFS 16nm/32 层 3D NAND 64 层 3D NAND IMFT 16nm 16nm Fab 10x 未量产 16nm/64 层 3D NAND 2017 未知 英特尔 Fab68 32 层 3D NAND 64 层 3D NAND 2016 未知 M11 14nm/16nm 14nm SK 海力士 M12 14nm/3D NAND 16nm/36 层 3D NAND M14 未量产 3D NAND 2016 M15 未量产 未量产 2019 合计 148 资料来源 : 中国闪存市场, 中银证券 逻辑运算处理器 (CPU) 分为 PC 处理器, 手机处理器等, 不同市场主流供应商不同 (1) 在 PC 处理器市场,Intel 与 AMD 长期占据近 99% 的市场份额, 且 Intel 自 2008 年至今, 市场份额一直超过 70%; (2) 在手机处理器市场, 主要供应商有高通, 联发科和展讯, 高通主导高端产品市场, 联发科技 (MTK) 和展讯两家在中端和低端市场竞争 在手机处理器市场上, 三星 苹果 华为等厂商均采用自行设计的手机处理器, 因此高通除了少量供货三星外, 主要与微软 谷歌合作, 供货 Windowsphone Chromebook 手机 ; 联发科技主要供货小米 魅族 OPPO vivo 等手机厂家 ; 展讯主要供货国内中低端手机, 在非洲和印度市场也有一定份额, 三星也是其客户之一 图表 年 Intel 与 AMD 在 PC 处理器市占率的变化 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% Intel AMD 资料来源 : 中关村在线, 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 16

17 电源管理芯片 (PMIC) 是半导体功率 IC 市场主流产品, 主要应用于汽车 计算 通信 消费电子和工业等终端市场 根据 Yole Development,2016 年功率 IC 市场规模为 145 亿美元, 预计 2022 年将达到 180 亿美元 PMIC 市场的主流供应商有德州仪器 (TI) 安森美半导体(ON Semi) 英飞凌(Infineon) 亚德诺 (ADI) 美信(Maxim) 瑞萨电子(Renesas) 恩智浦(NXP) 安华高 (Avago) 意法半导体(ST) 等 2017 年 12 月 22 日半导体设备行业深度报告 17

18 二 半导体行业迎来向上大周期 2.1 全球半导体产业景气度向上 当前半导体行业处于景气度向上周期 美国费城半导体指数 SOX 持续向好, 预兆当前半导体行业处于景气度向上周期 费城半导体指数 (Philadelphia Semiconductor Index) 为全球半导体业景气主要指标之一, 该指数有 19 个成分股, 涵盖半导体设计 设备 制造 销售与配销等方向, 包括应用材料 (Applied Materials) 超微(AMD) 博通(Broadcom) 飞思卡尔(Freescale) 英飞凌(Infinenon) 英特尔 (Intel) 等 图表 13. 美国费城半导体指数 SOX 整体走高 资料来源 : 中银证券 全球半导体销售额有望再攀新高 据 Gartner 统计数据显示,2016 年全球半导体行业销售额 3,397 亿美元, 同比增长 1.3%; 预计 2017 年全球半导体产业销售额将达到 3,641 亿美元, 同比增长 7%, 增幅有望成为近 10 年第二高, 仅次于 2014 年 9.88% 图表 14. 全球半导体销售额呈上涨趋势 ( 亿美元 ) (%) 4, , , , , , , (2) 0 (4) 2010 年 2011 年 2012 年 2013 年 2014 年 2015 年 2016 年 2017E 销售额 ( 左轴 ) 增速 ( 右轴 ) 资料来源 : 万得资讯,Gartner, 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 18

19 新元素催生本轮电子大周期 经济景气度越高, 消费者就会越肯花钱在智能手机 个人电脑等电子产品上, 连带为半导体市场带来成长动力, 从 IC insights 数据可以看出, 全球 GDP 成长率与半导体市场的成长率关联性十分密切 图表 年半导体市场增速和全球 GDP 增速接近正相关 5% 4% 3% 2% 1% 0% -1% -2% 50% 40% 30% 20% 10% 0% -10% -20% -30% -40% WW Semi Industry Growth( 右轴 ) Global GDP Growth( 左轴 ) 资料来源 : 智研咨询, 中银证券 信息时代依赖于数据处理与存储为基础, 移动互联网的发展加速了信息时代的发展,AR/VR 汽车电子 人工智能 物联网将成为下一个信息爆发点, 拉动半导体需求持续增长 如今的半导体是需求推进的市场, 自 1950 年发展至今, 半导体产业增长的驱动力已由传统的 PC 及相关联产业转向移动产品市场, 包括智能手机及平板电脑等, 未来则由 IoT AI OLED 大数据 智能汽车等接力成为半导体产业增长新的发展引擎 图表 16. 半导体产业推动力发展变化 IoT AI OLED 大数据 智能汽车 30 移动产品 PC 资料来源 : 中银证券 2022 年 IoT 市场规模超万亿美元, 物联网芯片市场逾百亿美元 IoT 在近几年实现了巨大发展, 其应用已经渗透到可穿戴设备 智能家居 智能交通 医疗保健 农业 建筑施工和零售等领域 预计到 2022 年, 全球将有 770 亿设备连接到物联网, 市场规模超万亿美元 根据 Markets and Markets 数据, 物联网芯片市场将从 2015 年的 45.8 亿美元成长至 2022 年的 亿美元, 年之间的复合年成长率 (CAGR) 达 11.5% 2017 年 12 月 22 日半导体设备行业深度报告 19

20 E 2017E 2018E 2019E 2020E 2021E 2022E 图表 年全球物联网芯片市场规模不断扩大 ( 亿美元 ) 资料来源 :Markets and Markets, 中银证券 全球 AI 融资规模急剧增长, 人工智能应用市场总值到 2025 年将达到 1270 亿美元 乌镇智库分析报告中指出, 年, 全球人工智能企业新增数为之前 12 年的 1.75 倍, 达到 5154 家 年全球累积融资规模的 77.8% 聚焦于人工智能, 达到 224 亿美元 2016 单年的融资规模与 2000 年 年累积融资规模相当, 为 92.2 亿美元, 是 2012 年的 5.87 倍 图表 18. AI 概念企业数及融资额大幅增长 ( 家 ) ( 亿美元 ) 1, , , ,000 1, , , , , 全球新增 AI 企业数 ( 左轴 ) 全球 AI 融资规模 ( 右轴 ) 资料来源 : 麦肯锡, 乌镇智库, 中银证券 2015 年全球 OLED 市场总规模约为 130 亿美元, 次年全球 AMOLED 市场规模也达到 100 亿美元, 到 2020 年, 有望提升至约 400 亿美元 目前,OLED 产业格局表现为 : 日韩企业垄断控制上游原材料和设备, 中游市场受多巨头把控, 下游终端产品竞争激烈 在未来,AMOLED 仍将最大程度发挥 OLED 技术优势, 当前 Sony Nippon Seiki Samsung SDI 等厂商已大力进行研发投入工作 ; 可挠式 AMOLED 将得以应用 ; 同时, 节能光源 OLED 的发展将获得飞速提升 2017 年 12 月 22 日半导体设备行业深度报告 20

21 图表 全球 OLED 应用市场规模 ( 亿美元 ) E 2018E 2019E 2020E 手机用 AMOLED 市场规模电视用 AMOLED 市场规模 VR 用 AMOLED 市场规模可穿戴智能设备用 AMOLED 市场规模 资料来源 : 智研咨询, 前瞻产业研究院, 中银证券 数据科学工具链与机器学习应用将成为发展前景最广阔的软件领域, 预计未来五年增速超过 60% 目前, 全球大数据市场领袖包括 IBM SAP Oracle 等, 2016 年前十大厂商份额共计 34%, 该值将继续上升,2020 年或将超过 40% 2016 年全球大数据市场规模为 281 亿美元, 同比增长 22% 硬件收入为 83 亿美元, 软件收入为 91 亿美元, 服务收入为 107 亿美元, 占比分别为 30% 32% 与 38% 图表 年大数据市场规模有望达 970 亿美元 ( 亿美元 ) 1,200 1, 年 2013 年 2014 年 2015 年 2016 年 2027 年 970 资料来源 : 前瞻产业研究院, 中银证券 科技巨头布局智能汽车, 未来市场空间巨大 在全球范围内, 谷歌 苹果 微软等科技巨头公司, 以及包括奔驰 宝马 奥迪等汽车制造商已开始布局智能汽车行业 无人驾驶作为智能驾驶的重要特征, 将经历多个阶段 : 智能辅助驾驶 半自动驾驶 特定条件下的无人驾驶及无人驾驶 前两阶段现已部分完成商业化量产任务, 后续阶段的实现仍有待相关技术的研发与商品的投产 我国 2020 年的智能汽车市场空间, 相较于 2014 年, 预计将取得近 5 倍增长 到 2035 年, 全球智能汽车的产量将会达到千万级别 目前, 智能汽车行业因处于研究开发阶段, 故尚未形成稳定的竞争格局 2017 年 12 月 22 日半导体设备行业深度报告 21

22 图表 年智能汽车市场空间不断扩展 ( 亿元 ) 2, , , , 资料来源 : 智研咨询, 中银证券 2.3 创造性技术变革带来市场新发展 摩尔定律的延续需要设计 制造 封装系统性优化半导体前段制程不断逼近物理极限, 设计与封装重要性日益凸显 目前最精尖的半导体制程已经达到个位数纳米级别, 受限于光刻技术的精度, 制程的进一步微缩已经是难上加难 目前, 各类先进封装类型与工艺展现了封装领域巨大的提升空间, 通过 IC 设计与封装的优化, 可以实现在更小的空间内集成更多电路, 让半导体器件继续遵循摩尔定律 在将来, 器件的微缩将不再是简单的依靠前段制程的微缩, 而是需要通过设计 制造与封装的系统性优化来完成 因此, 设计与封装的重要性将会日益凸显 制程的纳米数指的是 CPU 上形成的互补氧化物金属半导体场效应晶体管 (CMOS) 栅极的宽度 芯片的制造工艺常常用 90nm 65nm 40nm 28nm 22nm 14nm 节点表示, 其中 65nm 40nm 28nm 都属于大节点制程 在芯片的制造工艺到达 28nm 以前, 其发展一直遵循着摩尔定律 : 约每隔 个月, 制造工艺的纳米数便会缩小, 同样大小的芯片上能够集成的器件数会增加一倍, 芯片性能也将提升一倍 但是, 在制造工艺达到 14/16nm 之后, 技术的进步反而会使芯片的成本快速上升, 一方面是由于新工艺的研发成本高昂, 成品率偏低, 另一方面是由于光刻机 刻蚀机等设备价格昂贵, 掩膜成本高昂 在面临器件大小临近物理极限, 摩尔定律 可能失效的情况下, 多种创造性的器件结构和技术发展, 为半导体市场继续发展注入了新的动力 FINFET: 创造性的立体结构传统的晶体管是金属 - 氧化物 - 半导体场效电晶体 (Metal Oxide Semiconductor Field Effect Transistor,MOSFET) 其构造如图表 22( 左 ) 所示, 金属构成闸极 (Gate)/ 栅极, 闸极下方有一层厚度很薄的氧化物, 下方是半导体材料, 在闸极两边分别作为源极 (Source) 和汲极 (Drain)/ 漏极 中间由上而下依序为金属 (Metal) 氧化物(Oxide) 半导体(Semiconductor), 因此称为 MOS 鳍式场效应晶体管 (Fin Field-Effect Transistor,FINFET) 是一种新的互补式金属氧化物半导体 (CMOS) 晶体管 其构造如图表 30( 右 ) 所示,FINFET 把原本的 2D 构造的源极和汲极拉高成立体板状结构, 大大增加了电流控制的接触面积 2017 年 12 月 22 日半导体设备行业深度报告 22

23 图表 22. 传统晶体管与 FINFET 对比 资料来源 :TechNews 中银证券 FINFET 是闸极长度缩小到 20 纳米以下的关键, 对于半导体制程的微缩有重大意义 电子是否能由源极流到汲极是由闸极电压来控制的, 闸极长度愈小, 闸极与通道之间的接触面积愈小, 闸极对通道的影响力愈小 在传统晶体管结构中, 只能在闸门的一侧控制电路的接通与断开, 属于平面架构, 接触面积小, 控制力差 在 FinFET 的结构中, 闸门成类似鱼鳍的叉状 3D 架构, 可于电路的两侧控制电路的接通与断开, 接触面积大, 控制能力强 FINFET 这种设计可以大幅改善电路控制并减少漏电流和动态功率损耗, 也可以大幅缩短晶体管的闸长 全球主要大型晶圆代工厂已在最先进的制程中使用 FinFET 技术, 台积电最新基于 FINFET 的 7nm 芯片将于明年出货 英特尔在 22nm 节点上采用 FINFET 晶体管, 台积电在 16nm 工艺上使用, 三星和格罗方德将其用于 14nm 工艺中 目前最先进的 core i7 和 Exynos 7 均使用 FINFET,iPhone 6s 的处理器采用新电晶体架构 FinFET 后,FinFET 开始全面攻占手机处理器 2017 年 9 月, 台积电与赛灵思 安谋国际 益华电脑共同宣布联手打造全球首款基于 7 纳米 FinFET 制程的测试芯片, 预计 2018 年第 1 季度完成流片, 下半年开始出货 图表 23. 传统晶体管与 FINFET 对比 22nm 16nm 14nm 台积电 三星 英特尔 格罗方德 资料来源 :TechNews 中银证券 3D NAND: 比传统 2D 多 1D 日益增长的移动数据存储需求对于闪存的容量要求越来越高, 而传统 2D NAND 闪存的制造工艺已经接近于物理极限, 在 16nm 左右达到饱和,3D NAND 则在工艺上实现了革命性的提升 3D NAND 通过垂直堆叠多层数据存储单元, 实现了比传统 2D NAND 技术设备更大的容量 同一片晶元上存储单元越多即意味着每个 bit 的成本更低, 因此 3D NAND 同时还能带来更高的成本效益 2017 年 12 月 22 日半导体设备行业深度报告 23

24 图表 24. 传统 2D NAND 与 3D NAND 对比 资料来源 : 超能网 中银证券 全球主要的闪存厂商均已开始生产 3D NAND 闪存产品, 并且堆叠层数不断增加 2013 年 8 月, 三星首先推出 3D NAND 产品, 之后每年堆叠层数都会更进一步, 由 24 层,32 层,48 层, 到 2016 年第四代的 64 层 2016 年, 东芝开始量产 48 层 3D NAND 闪存, 同年美光在新加坡与英特尔合资的 12 英寸厂开始量产 3D NAND 根据中国闪存市场 (China Flash Market) 统计,2017 年全球 NAND Flash 市场规模为 400 亿美元, 预计 2020 年将成长至 650 亿美元 与此同时, 随三星 东芝等各大 NAND 闪存生产厂商将产能转换至 3D NAND,3D NAND 的市场占有率将迅速提高, 市场规模进一步扩大 TSV:3D 集成封装新方式 TSV(Through Silicon Vias) 是一种新型的互连工艺, 比引线键合和倒装芯片堆叠提供更大的空间效率和更高的互连密度 在 TSV 技术中, 两个或多个垂直堆叠的芯片通过穿过堆叠芯片的垂直硅通孔 ( 即跨越两个或更多个相邻芯片之间的接口 ) 形成互连, 作为集成电路组件而被连接 图表 25. 传引线键合与 TSV 工艺对比 资料来源 : 行业资料 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 24

25 TSV 提供了最短的互连路径, 为最终的 3D 集成创造了一条途径 近年来, 以引线键合和倒装芯片堆叠形式的 3D 集成已进入主流半导体制造, 以解决物理扩展的局限性, 同时提供更好的性能和功能 TSV 工艺则能够在更小的外形尺寸下实现更高水平的功能集成和性能 TSV 可以提供比引线键合更高的输入 / 输出密度, 不仅解决了引线键合的数据交换问题, 还拥有管芯间更短互连, 水平布线损耗更小, 缓冲区浪费的空间和功耗更小等优点 TSV 还可以减少电路中的电气寄生耦合现象, 提高设备切换速度 2017 年 12 月 22 日半导体设备行业深度报告 25

26 三 中国半导体产业发展黄金时机 3.1 半导体产业加速向中国转移 21 世纪初, 全球半导体产业从欧美 日本 韩国和台湾等地逐步向大陆转移, 并且呈现加速态势 至今, 全球半导体产业也初步形成四国五地的发展格局 半导体产业加速转移中国的推动因素包含 : (1) 中国半导体市场庞大, 需求旺盛 ; (2) 国家政策导向, 关系国家信息安全命脉和转型升级需求 ; (3) 巨额资金投入和技术进步 图表 26. 半导体产业加速向中国转移 资料来源 :ICInsights, 中银证券 中国半导体产业自 21 世纪以来迅速发展,2016 年市场销售额达 4,335.5 亿元, 同比增速为 20.1%, 成为全球增长最快的国家 图表 27. 中国半导体产业销售额稳步增长 5, , , , , , , , , ( 亿元人民币 ) 60.0% 55.2% 50.0% 43.3% 28.8% 29.8% 34.3% 40.0% 30.0% 24.3% 11.6% 16.2%20.2%19.7%20.1% 20.0% 10.0% -0.4% 0.0% -11.0% % -20.0% 资料来源 :CSIA 中银证券 销售额 ( 左轴 ) 增长率 ( 右轴 ) 3.2 中国大陆半导体各环节齐头并进 中国半导体产业中设计业 制造业 封测业同步发展, 半导体产业迎来黄金发展时期 据中国半导体行业协会统计,2016 年中国集成电路各产业销售额首次同时超过 1000 亿 其中设计业 亿元 制造业 亿元 封测业 亿元, 制造产业产值首超 1000 亿元 2017 年上半年, 集成电路制造业继续成长, 同比增长 25.6%, 产业规模达 571 亿元 2017 年 12 月 22 日半导体设备行业深度报告 26

27 图表 28. 中国半导体三大环节行业均呈现良好发展态势 1, , , , , )kw( % 25.10% % 芯片设计业封装测试业芯片制造业 30.00% 25.00% 20.00% 15.00% 10.00% 5.00% 0.00% 2015( 左轴 ) 2016( 左轴 ) 年增长率 ( 右轴 ) 资料来源 : 中国半导体行业协会 中银证券 设计业 : 销售收入稳定增长, 全球市场占有率逐步提升, 大陆企业表现不俗 2016 年我国集成电路设计全行业销售收入为 亿元, 比 2015 年的 亿元增长 24.1% 统计数据显示, 年中国集成电路设计业年均增长率为 44.91% 以 2016 年全球集成电路设计业 889 亿美元市场规模计算, 中国大陆 亿美元在全球的市场占有率上比重提升至 27.82% 图表 29. 中国半导体设计业进入稳定增长期 1, , , , , ( 亿元人民币 ) 年 CAGR=44.91% % % % % % 50.00% 0.00% 销售额 ( 左轴 ) 增长率 ( 右轴 ) 资料来源 : 中国半导体行业协会 中银证券 从销售额来看, 大陆排名前两位设计企业均在全球前十名单内 销售额超过 1 亿元的企业有 161 家, 占全行业销售总和的比例为 80.97%; 销售额 5000 万至 1 亿的企业 201 家, 万的企业 256 家, 小于 1000 万元的企业 742 家 从盈利情况来看, 盈利企业数量达到 503 家, 排名前 100 的设计企业的平均毛利率为 30.6%, 比上年的 29.56%, 上升了 1.04 个百分点 ; 排名前 10 的设计公司的平均毛利率为 35.06%, 比 2015 年的 40.25% 下降了 5.19 个百分点 2017 年 12 月 22 日半导体设备行业深度报告 27

28 图表 年中国芯片设计业前十名企业销售总额达 700 亿元 排名 公司名称 销售 ( 亿元 ) 1 深圳海思半导体 北京紫光展锐 北京威豪科技 深圳中兴微电子 上海华大半导体 北京智芯微电子 深圳汇顶科技 杭州士兰微电子 北京芯成科技 北京大唐半导体 总计 资料来源 : 中国半导体行业协会 中银证券 制造业 : 中国大陆集成电路制造业正在迎来新一轮的高速增长 从销售额上看,2008 年 年大陆芯片制造业年均增长率为 14.1%, 预期未来几年的年均复合增长率继续保持在两位数以上 2016 年销售首次超过 1000 亿元, 达到 亿元人民币, 增长 26.1%, 其中三星 ( 中国 ) 的贡献占了 10.3%; 中芯国际增长 39.26%, 上海华力增长 50.5% 前十大制造企业中本土企业占据 5 席, 总体销售额占比为 44%, 比 2015 年增加 0.16 个百分点 ; 从技术上看, 中芯国际和华力半导体的 28nm 工艺进入量产, 技术落后国际先进水平 3-4 代左右, 12 英寸晶圆产能约为 15 万片 / 月 图表 年中国芯片制造业市场规模首破千亿 ( 亿元人民币 ) 1, , % 31.08% -3.47% % CAGR=14.1% 30.00% 26.50% 25.10% 20.00% 18.51% 20.00% 10.10% % 销售额 ( 左轴 ) 增长率 ( 右轴 ) 资料来源 : 中国半导体行业协会 中银证券 10.00% 0.00% % % 图表 年中国芯片制造业十大企业销售总额达 827 亿元 排名 公司名称 销售 ( 亿元 ) 1 三星 ( 中国 ) 半导体有限公司 中芯国际集成电路制造有限公司 SK 海力士半导体 ( 中国 ) 华润微电子 上海华虹宏力 英特尔半导体 ( 大连 ) 台积电 ( 中国 ) 上海华力 西安微电子技术研究所 和舰科技 ( 苏州 ) 总计 资料来源 : 中国半导体行业协会 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 28

29 封测业 : 平稳增长, 本土企业表现优异 16 年国内封测行业收入 亿元人民币, 比 2015 年增长 13.03%; 从历史数据来看, 年年均复合增长率为 12.31%, 2012 年至今增长态势平稳 预计未来几年将继续保持良好的增长势头, 但总体规模或将被芯片设计业超越 图表 33. 中国封测业增长态势平稳 1, , , , , ( 亿元人民币 ) 55.07% CAGR=12.3% % 50.00% 40.00% 30.00% 26.30% 19.51% 20.00% % 13.03% % 10.00% 6.15% % % % 销售额 ( 左轴 ) 增长率 ( 右轴 ) 资料来源 : 中国半导体行业协会 中银证券 全球前十大封测企业中, 我国企业有四家, 其产值全球占比在 60% 左右 国内 10 大封测企业中, 本地企业的销售 亿元, 占 10 大封测企业的收入比例 为 61.33%, 比 2015 年提升 个百分点 图表 年中国封测业十大企业销售额接近 700 亿元 排名 公司名称 销售 ( 亿元 ) 1 长电科技 南通华达 威讯联合半导体 ( 北京 ) 天水华天集团 恩智浦半导体 英特尔 ( 成都 ) 无锡海泰 上海凯虹科技 安靠 ( 上海 ) 晟碟半导体 ( 上海 ) 总计 资料来源 : 中国半导体行业协会 中银证券 3.3 中国大陆掀起晶圆厂建设热潮,18-19 年有望迎来抢装潮 年, 全球超 550 座晶圆厂及生产线将进行设备投资, 预计设备投资超过 460 亿美元 根据 SEMI,2017 年有 282 座晶圆厂及生产线进行设备投资, 其中有 11 座支出金额超过 10 亿美元 2018 年预计有 270 座厂房将进行相关设备投资, 其中 12 座支出超过 10 亿美元 这部分设备投资项目主要集中于 3D NAND DRAM 晶圆代工及微处理器(MPU) 其他支出较多的产品分布涵盖 LED 与功率分离式元件 逻辑 MEMS(MEMS/RF) 与类比 / 混合讯号 国内外的 3-5 年集中投资, 迎来建设热潮 根据 SEMI, 目前全球处于规划或建设阶段, 将于 2017 至 2020 年间投产的半导体晶圆厂约为 62 座, 其中 26 座位于中国, 占全球总数 42% 62 座晶圆厂中有 47 座投产概率超过 60% 以上, 其余的 15 座有 10 家处于规划阶段,5 家则待进一步确认 2017 年 12 月 22 日半导体设备行业深度报告 29

30 图表 35. 规划建成的晶圆厂中四成以上在中国大陆 中国大陆 42% 其它地区 58% 资料来源 : 中国半导体行业协会 中银证券 图表 36. 规划中的晶圆代工厂和存储器产线居多 MEMS 8% 其他 18% 晶圆厂代工 32% 电源芯片 10% LED 11% 存储器 21% 资料来源 : 中国半导体行业协会 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 30

31 图表 37. 大陆规划新建的 12 寸晶圆厂, 2-3 年内迎来建设高峰 地点 生产项目 技术节点 投资金额月产能 ( 亿元 ) (K/ 月 ) 1 紫光集团 南京 3DNAND,DRAM 紫光集团 成都 DRAM 12 寸 紫光集团 深圳图像传感器, 逻辑电路 45nm 中芯国际 上海 逻辑芯片 28/14/10/7nm 中芯国际 宁波 逻辑芯片 中芯国际 北京 逻辑芯片 28nm 中芯国际 深圳 逻辑芯片 28-14nm 长江存储 深圳 逻辑芯片 65/55nm 长江存储 武汉 逻辑芯片,3D NAND 长江存储 武汉 3D NAND 长江存储 武汉 DRAM 台积电 南京 逻辑晶片 16nm 三星 西安 3D NAND 美国 AOS 重庆 MOSFET 新功率半导体器件 联芯 厦门 逻辑芯片 55-40nm 力晶 ( 晶合 ) 合肥 LCD 驱动芯片 65-55nm 华力微电子 上海 逻辑芯片 28-14nm 合肥长鑫 / 兆易创新 合肥 DRAM 19nm 格芯 成都 FD-SOI 22nm 格芯 成都 逻辑芯片 nm 福建晋华 泉州 DRAM 及 NAND Flash 32-20nm 德科玛 淮安 CIS 芯片及封测 65nm SK 海力士 无锡 扩大 NAND Flash 产能 45-25nm SK 海力士 无锡 DRAM 10nm 英特尔 大连 3D NAND Xpoint 华虹宏力 无锡 芯片代工, 功率器件 65-55nm 资料来源 : 中国半导体行业协会 中银证券 图表 38. 大陆规划新建的 8 寸晶圆厂 地点 生产项目 月产能 (K/ 月 ) 1 TI 成都厂 成都 50 2 士兰集成 杭州 20 3 德科玛 淮安 40 4 华虹宏力 上海 准逻辑 嵌入式非易失性存储器 电源管理 功率器件 射频 模 60 拟和混合信号等 5 华虹宏力 上海 90 6 上海先进半导体 上海 23 7 台积电 上海 汽车芯片生产 中芯国际 上海 中芯国际 上海 中芯国际 上海 中芯国际 天津 中芯国际 天津 高通芯片 中芯国际 深圳 苏州和舰 苏州 逻辑 混合信号 / 射频电路 嵌入式非挥发性记忆体 华润上华 无锡 模拟晶圆代工 中航 ( 重庆 ) 微电子 重庆 南车株洲所 株洲 50 资料来源 : 中国半导体行业协会 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 31

32 四 集成电路产业链和制造工艺及设备 4.1 集成电路产业链 : 涉及领域广, 规模巨大 集成电路产业链分为上 中 下游, 涉及领域广泛, 规模巨大 上游涉及集成电路制造的原材料 晶圆代工和芯片设计, 原材料主要包括化学品和其他材料 ; 中游涉及半导体设备供应 芯片制造和封装测试 ; 下游涉及半导体销售 半导体终端相关的电子制造业服务等 整个产业链参与者包含材料供应商 电路设计公司 芯片制造商 半导体工业设备厂商 化学品供应商等 IC 产业链中的核心参与者主要有集成器件制造商 (IDM) 晶圆代工厂(Foundry) 和芯片设计公司 (Fabless) IDM 参与芯片生产全流程, 而 Foundry 和 Fabless 的出现是半导体行业制造与设计专业化分工的结果 集成器件制造商 (Integrated Device Manufacturer,IDM) 是集设计 制造 封装和市场销售为一体的公司, 全球领先的厂商主要有英特尔, 三星等 IDM 模式对于企业的研发力量 生产管理能力 资金实力和业务规模都有极高的要求 晶圆代工厂 (Foundry) 为客户提供晶圆制造服务, 专注于制程研发 产线建设与工艺优化 Foundry 模式最初由台积电董事长张忠谋创立, 是半导体行业制造分工专业化的体现 目前全球主要的晶圆代工厂有台积电 格罗方德 台湾联华电子等 Fabless 模式的企业只进行设计和销售, 将制造 封装和测试等生产环节分别外包给专业的晶圆制造企业 封装和测试企业来完成 Fabless 企业专注于 IC 芯片设计这一过程, 主要厂商有 ARM AMD 高通 博通等 IC 设计涉及对电子器件 ( 例如晶体管 电阻器 电容器等 ) 器件间互连线模型的建立 所有的器件和互连线都需安臵在一块半导体衬底材料之上, 这些元件通过半导体器件制造工艺安臵在单一的硅衬底上, 从而形成电路 图表 39. 集成电路产业主要参与者 集成芯片制造商 IDM 晶圆代工厂 (Foundry) 芯片设计公司 (Fabless) 英特尔 (Intel) 台积电 (TSMC) AMD 三星 (SAMSUNG) 格罗方德 (Global Foundry) 高通 (Qualcomm) 海力士 (SK Hynix) 中芯国际 (SMIC) 博通 (Broadcom) 美光 (Micron) 联华电子 (UMC) ARM 德州仪器 (TI) TowerJazz 英伟达 (Nvidia) 恩智浦 (NXP) Powerchip 苹果 (Apple) 东芝 (Toshiba) Vanguard 联发科 英飞凌 (Infineon) 华宏 Hua Hong Semi Hisilicom 意法半导体 (ST) Dongbu HiTek 迈威尔 (Marvell) 索尼 (Sony) SSMC Xilinx 资料来源 :IC Insights 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 32

33 图表 40. 集成电路产业链 资料来源 : 公开资料 中银证券 4.2 硅晶圆生产 : 单晶炉是关键设备 硅晶圆是集成电路制造的原材料, 也称为硅晶片 硅元素加以纯化 (99.999%) 后, 制成多晶块, 然后经过晶体生长, 形成大单晶, 再经过研磨, 抛光, 切片等程序, 制作出一片片硅晶圆 单晶硅的特性 : 1. 电阻率特性, 导电性明显受光 电 磁 温度等因素的影响 ; 2.p-n 结特性,n 型和 p 型半导体材料相连, 组成 p-n 结, 具有单向导电性 ; 3. 光电特性,p-n 结在光的左右下能产生电流, 如太阳能电池 图表 41. 晶圆的形态 : 单晶硅棒 单晶硅片和单晶方棒 资料来源 : 百度图片 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 33

34 图表 42. 硅晶生长方法 资料来源 : 谷歌 半导体圈 中银证券 硅晶圆制作过程中的关键步骤是晶体生长, 关键设备是单晶炉 单晶炉是一种在惰性气体 ( 氮气 氦气为主 ) 环境中, 用石墨加热器将多晶硅等多晶材料熔化, 用直拉法生长无错位单晶的设备 单晶炉通过控制投料量 生长温度等来控制拉出的单晶棒的直径 常见的单晶棒成品直径尺寸有 6 寸 8 寸和 12 寸 图表 43. 单晶炉结构图 资料来源 : 谷歌 中银证券 单晶硅的制备方法有直拉法 (CZ 法 ) 区熔法(Fz 法 ) 和外延法, 其中直拉 法和区熔法用于制备单晶硅棒材, 区熔单晶的最大需求来自于功率半导体器 件 图表 44. 直拉法 (CZ 法 ) 区熔法(Fz 法 ) 的比较 项目 直拉法 区熔法 炉子 直拉炉 区熔炉 工艺 有坩埚 无坩埚, 高频加热 直径 最大 450mm 单晶 最大 200mm 单晶 2017 年 12 月 22 日半导体设备行业深度报告 34

35 纯度 氧 碳含量高 纯度受坩埚 污染 纯度较高 少子寿命低高 电阻率 中低电阻, 轴向电阻率分布不均匀 电阻率超过 10 4 应用晶体管 二极管 集成电路高压整流器 可控硅 晶体 管 集成电路 投资较小较大, 数倍于直拉法 优点 工艺成熟, 设备简单, 可大规模生产 纯度高, 电学性能均匀 缺点纯度低, 电阻率不均匀工艺繁琐, 生产成本高, 机 械加工性差 工艺流程 装料 熔化 种晶 缩颈 放肩 收尾 打磨 清洗 装炉 高频电力加热 籽晶熔接 缩颈 放肩 收尾 资料来源 : 谷歌, 半导体圈, 中银证券 单晶炉在全球范围内的供应商主要有德国 PVA TePla AG 德国 Gero 日本 Ferrotec 美国 Quantum Design 美国 Kayex 晶盛机电 北方华创 京运通 京仪世纪 河北晶龙阳光 西安理工晶科 常州华盛天龙 上海汉虹 西安华德 中国电子科技集团第四十八所 上海申和热磁 晋江耐特克 宁夏晶阳 常州江南 合肥科晶材料技术公司 沈阳科仪公司等 4.3 集成电路制造复杂, 技术含量高, 设备难度大 集成电路的制造是极其精密的工艺, 流程复杂, 生产工序多达 1000 道 从最初的硅砂到最终的器件, 涉及晶圆制造 芯片制造 检测和封装等环节 图表 45. 集成电路制造工艺的流程示意图 资料来源 : 行业资料 中银证券 晶圆制造多采用最精密仪器设备 2017 年 12 月 22 日半导体设备行业深度报告 35

36 集成电路生产中, 晶圆制造的核心工艺主要包括薄膜淀积 热处理 光刻 掺杂等, 由于制程在纳米级别, 对于设备的精度要求极高 设备涉及 CVD 和 PCD 等薄膜设备 ( 总成本的 14%) 光刻设备( 总成本 20%) 曝光设备 刻蚀设备等核心设备, 以及清洗机 外延炉 氧化炉 退火设备 掺杂设备 封装设备 检测设备等配套设备 图表 46. 集成电路制作主要工艺流程 资料来源 :CSIA, 中银证券 图表 47. 集成电路制作的核心工艺及设备 资料来源 :CSIA, 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 36

37 薄膜淀积工艺 : 沉积为主, 新工艺也不断发展 薄膜淀积工艺主要有化学气相沉积 (CVD) 物理气相沉积 (PVD) 气相外 延 (VPE) 和分子束外延 (MBE) 等 图表 48. 四种薄膜淀积工艺对比 薄膜淀积工艺化学气相沉积 (CVD) 物理气相沉积 (PVD): 磁控溅射 气相外延 (Vapour Phase Epitaxy,VPE) 分子束外延 (Molecular Beam Epitaxy System, MBE) 设备照片 利用某种物理过程, 例如蒸发或者外延生长温度高, 生长时 工艺特点 通过气态物质的化学反应在溅射现象实现物质的转移, 即原子间长 ; 在外延过程中可以蒸发工艺衬底上淀积一层薄膜材料或分子由源转移到衬底表面上, 并任意改变杂质的浓度和压力 托 淀积成薄膜 导电类型 优点 淀积温度低 薄膜成分和厚度淀积薄膜与衬底附着性好 淀积多易控制 均匀性和重复性好 元化合金薄膜时组分容易控制 较可以制造较厚的外延层 ; 台阶覆盖优良 适用范围广 高的薄膜溅射质量设备简单 优于 CVD 应用 绝缘材料 金属和合金材料 金属淀积 可淀积化合物材料 生长 LED 国外 : 美国 CVD 法国 Riber 美国 Veeco Equipment 美国 GT 法国芬兰 DCAInstruments 美国美国 PVD 公司 美国 Vaportech 美 Soitec 法国 AS 美国 SVTAssociates 美国 NBM 国应用材料 荷兰 Hauzer 英国 ProtoFlex 美国德国 Omicron 德国 Teer 瑞士 Platit 瑞士 Balzers 德 KurtJ.Lesker 美国应用材 MBE-Komponenten 英国国 Cemecon; 国内 : 北京仪器厂 主要供应商美国应用材料料 ; 国内 : 中国电子科技 Oxford Applied Research 沈阳中科仪器 成都南光实业股份集团第四十八所 青岛赛 (OAR); 国内 : 沈阳中有限公司 中国电子科技集团第四睿达 合肥科晶材料技术科仪器 北京汇德信科技十八所 科睿设备有限公司 上海有限公司 北京晶盛微有限公司 绍兴匡泰仪器 资料来源 : 行业资料 各公司官网 中银证券 机械厂 纳 济南力冠电子科技有限公司 图表 49. 全球范围公司销售的 CVD 设备的市占率 设备有限公司 沈阳科友真空技术有限公司 Others 25% AMAT 35% TEL 17% 资料来源 :CSIA, 中银证券 LAM Research 23% 化学气相沉积 (Chemical Vapor Deposition,CVD) 是半导体工业中应用最为广泛的用来沉积多种材料的技术 通过含有薄膜所需的原子或分子的化学物质在反应室内混合, 并在气态下发生反应, 使其原子或分子淀积在晶圆表面并聚集, 形成薄膜 化学气相沉积根据具体工艺不同又分为常压化学气相沉积 2017 年 12 月 22 日半导体设备行业深度报告 37

38 (APCVD) 低压化学气相沉积 (Low Pressure Chemical Vapor Deposition,LPCVD) 等离子增强化学气相沉积 (Plasma Enhanced CVD,PECVD) 和高密度等离子 CVD (HDP CVD) 等 图表 50. 四种 CVD 系统对比 化学气相沉积设备 常压化学气相沉积 APCVD 低压化学气相沉积 LPCVD 等离子增强化学气相沉积 PECVD 高密度等离子 CVD(HDPCVD) 设备照片 工艺特点 优点 常压下进行淀积 反应简单淀积速度快低温 在较低压强下 (0.1-5 托 ) 将含在沉积室利用辉光放电, 使有薄膜元素的气态反应剂或材料电离后在衬底上进行在同一个反应腔中同步的进液态反应剂的蒸汽引入反应化学反应, 沉积半导体薄膜行淀积和蚀刻室, 在衬底表面发生化学反材料应, 沉积半导体薄膜 化学反应温度低 良好的台阶覆盖和均匀性气相反应中微粒形成时间少可在标准管式反应炉内完成 低温 快速淀积良好的台阶覆盖能力好的间隙填充能力 同 PECVD 应用 低温氧化物无掺杂硅玻璃掺杂氧化物在层间介质中 阻挡层和刻蚀终止层在薄膜间衬垫层 - 应力释放高温淀积氧化物 氮化硅 多晶硅 钨 金属上的绝缘体氮化物钝化低 k 介质 pmos 栅电极钝化 浅槽隔离填充高深宽比缝隙填充金属前介质金属层间 主要供应商 日本日立国际电气公司 上海爱韩华 ( 无锡 ) 电子有限公美国应用材料 Aviza 北方驰舰半导体科技有限公司 中司 北京北方微电子基地设华创 青岛曼什微电子设备国电子科技集团第四十八所 Plasma-Therm 美国应用材料备工艺研究中心有限责任制造有限公司 北京维意真中国电子科技集团第四十五公司空技术应用有限责任公司所 北京仪器厂 上海机械厂 资料来源 : 行业资料 中银证券 溅射淀积是一种物理气相沉积 (PVD) 工艺, 是标准的金属淀积方法 溅射工艺利用氩气电离后的离子轰击金属靶材, 引起原子分散后进入反应室, 淀积在晶圆表面 这一过程中靶材不发生化学或成分变化 最常用的溅射工艺是磁控管溅射, 这一工艺将被溅射的靶室材料量最小化, 降低了靶材温度, 并防止对淀积膜的污染, 对于提高淀积速率也更加有效 溅射工艺除了用于淀积薄膜, 还可以用于晶圆表面的腐蚀和清洁, 这一过程通常被称为溅射刻蚀 气相外延 (VapourPhaseEpitaxy,VPE) 是一种特殊的化学气相沉积, 生长薄层的晶体结构是单晶衬底的延续, 与衬底的晶向保持对应的关系 气相外延工艺在气相状态下, 将半导体材料淀积在单晶片上, 使它沿着单晶片的结晶轴方向生长出一层厚度和电阻率合乎要求的单晶层 该工艺可用于淀积化合物材料, 晶圆区反应温度较低, 具有薄膜清洁的优点, 生产效率高于 MBE VPE 的特点是外延生长温度高, 生长时间长, 因而可以制造较厚的外延层 ; 同时在外延过程中可以任意改变杂质的浓度和导电类型 分子束外延 (MolecularBeamEpitaxy,MBE) 是一种新发展起来的真空镀膜工艺 通过电子束撞击材料中心将其加热成液体, 原子从液态材料中蒸发出来, 溢出开口后淀积在晶圆表面上 其优点是温度较低 ( ), 将自动掺杂和外溢扩散减小到最低 ; 薄膜在形成过程中易控制, 能够沿一单层增量的方向生长, 薄膜结构优于 VPE 最大优势是一个工艺步骤( 抽一次真空 ) 就可以在 2017 年 12 月 22 日半导体设备行业深度报告 38

39 晶圆表面形成多个层 但是生长速率较慢 (60-600A/min) 应用在特殊的微波器件方面和化合物半导体如砷化镓等方面, 已投入生产使用 光刻设备 : 制程核心工艺, 最为关键光刻工艺是半导体制程中的核心工艺, 通过涂光刻胶和曝光, 将掩膜板上的图案 复制 到光刻胶上 一般的光刻工艺要经历硅片表面清洗烘干 涂底 旋涂光刻胶 软烘 对准曝光 后烘 显影 硬烘 激光刻蚀等工序 曝光时, 激光器产生高能激光, 经过一系列特制的精密光学镜片后, 通过掩模版, 再透过一系列镜片排列组成的物镜补偿光学误差, 最终精确无误的投射在曝光台上的晶圆表面, 精度需要达到纳米级别 曝光后, 利用化学方式显影, 光刻胶就显现出将要进行刻蚀的电路图案 图表 51. 荷兰 ASML Twinscan 光刻机简易工作原理图 资料来源 :OFweek 显示网, 中银证券 光刻机是光刻工艺中最重要的设备, 光刻机的精度决定了制程的精度 掩模版图案与晶圆表面图案大小差距在 1~2 个数量级, 由于光的衍射, 实际中激光的波长对于光刻图案的分别率影响至关重要 目前 193nm 液浸式光刻是应用最广且最成熟的技术, 能够满足精确度和成本要求, 其工艺延伸性非常强 在 22/16/14/10nm 节点, 主要几家芯片厂商均使用基于 193nm 液浸式光刻系统的双重成像 (double patterning) 技术 193nm 液浸式光刻的问题在于, 随光波长变小, 光会被用来聚光的玻璃透镜吸收而到达不了硅片 当前缩小制程的关键就是采用波长更短的 EVU 光刻设备 在 EUV 光刻技术中, 玻璃透镜将被反射镜取代以用于聚光 其 13.5nm 的短波长位于极紫外波段, 使得光刻技术可以实现更精确的图案制作, 但 EVU 必须在真空环境下才能工作 目前三星 台积电均已经在其最先进的 7nm 制程引入了 EVU 设备,EVU 设备成为了全球半导体制造厂家研发先进制程的必争设备 对于 EVU 而言极为关键的光学镜片, 由德国卡尔蔡司生产, 瑕疵大小仅以皮米计算 ( 纳米的千分之一 ) 2017 年 12 月 22 日半导体设备行业深度报告 39

40 图表 52. 荷兰 ASML EVU 光刻机, 缩小制程的最关键设备 资料来源 :ASML 官网, 中银证券 光刻机不仅可以用于芯片制作, 还可以用于封装 在封装部分, 光刻被用于处理凸块 铜柱 RDL 和 TSV 这些结构以微米级别测量 全球主要的光刻设备供应商有荷兰阿斯麦 (ASML) 美国泛林半导体 日本尼康 日本 Canon 美国 ABM 德国 SUSS 美国 MYCRO 中国电子科技集团第四十八所 中国电子科技集团第四十五所 上海机械厂 成都南光实业股份有限公司等 荷兰 ASML 公司已经基本垄断高端光刻机市场, 是全球光刻机龙头企业, 台积电 Intel 三星 格罗方德等晶圆制造大厂都是 ASML 的客户, 也仅有 ASML 可以生产对于制程极为关键的 EVU 设备 目前 ASML 的高端光刻机 EUV NXE 3350B 单价超过 1 亿美元, 落后 EVU 一代的 ArF Immersion 售价在 7000 万美元左右 尼康 佳能在中端光刻机占据一定份额, 尼康光刻机的单价大约在相当于 ASML 价格的三分之一 国内上海微电子量产的 90nm 以上制程的光刻机已应用于国内封装企业,65nm 光刻机已成功研发, 处于试用阶段 图表 53. 荷兰 ASML 全球市占率最高, 处垄断地位 资料来源 :SEMI, 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 40

41 刻蚀工艺 : 干 湿法蚀刻与不同介质蚀刻刻蚀工艺通过化学或物理方法有选择性地从硅片表面去除不需要的材料, 完成光刻技术定义的电路图形 在半导体制造中, 干法刻蚀和湿法刻蚀是两种基本的刻蚀工艺 干法刻蚀是亚微米尺寸下刻蚀器件的最主要方法, 湿法蚀刻一般用在尺寸较大情况下 在半导体制造中, 刻蚀还可以应用于磨片 抛光 清洗 腐蚀等工序 图表 54. 干法刻蚀与湿法刻蚀对比 刻蚀工艺原理适用尺寸优缺点 干法刻蚀 湿法刻蚀 气体与硅片发生物理或化学反应, 去除表面材料 亚微米 对光刻胶有更高的去除率 冲洗和干燥 不需要 液体化学试剂 ( 如各向同性刻蚀, 导酸 碱和溶剂 ) 以化大于 3 微米致边侧形成斜坡 ; 需要学方式去除硅片表面有潜在污染 ; 材料 资料来源 : 半导体制造技术, 中银证券 具体细分 等离子体离子铣反应离子刻蚀 浸泡式喷射式 反应离子刻蚀系统是刻蚀设备的一种, 其通过在平板电极间施加高频电压, 利用离子高速撞击器件表面, 实现化学反应刻蚀 反应离子刻蚀系统在全球范围内的供应商主要有日本 Evatech 美国 Nanomaster 新加坡 REC 韩国 Jusung 和 TES 等, 国内主要有北京仪器厂 北方华创 成都南光实业股份有限公司 中国电子科技集团第四十八所等 根据被刻蚀的材料的不同, 刻蚀工艺可以分为金属刻蚀 介质刻蚀和硅刻蚀 其中国内北方主要针对金属刻蚀, 中微主要针对介质刻蚀设备, 均有成熟产品 图表 55. 金属刻蚀 介质刻蚀和硅刻蚀对比 刻蚀材料 介质刻蚀 硅刻蚀 金属刻蚀 刻蚀对象 应用 刻蚀工艺刻蚀的化学材料 氧化物 干法刻蚀氟碳化合物化学气体制作接触孔和稀释的氢氟酸溶液, 或加入氟化铵通孔湿法刻蚀 (NH4F) 干法刻蚀 CF4 氮化硅制作保护膜高浓度 HF 缓冲 HF 溶液或沸腾的磷酸湿法刻蚀溶液 干法刻蚀氟基气体 氯或溴化学气体 多晶硅制作多晶硅栅高浓度 HF 缓冲 HF 溶液或沸腾的磷酸湿法刻蚀溶液 单晶硅 制作沟槽 干法刻蚀浅槽用氟气 深槽用氯基或溴基气体 铝 制作互联线 氯基气体,Cl2 BCl3 Ar N2 CHF3 干法刻蚀和 C2H4 等 钨 制作通孔 干法刻蚀氟基或氯基气体 接触金属 MOS 器件制造干法刻蚀氟基或氯基气体 资料来源 : 半导体制造技术, 中银证券 介质刻蚀是用于介质材料的刻蚀, 如二氧化硅, 氮化硅等 刻蚀氧化物通常是为了制作接触孔和通孔 氧化物等离子体刻蚀通常采用氟碳化合物化学气体 氮化硅刻蚀有两种基本氮化硅, 一种是 摄氏度下用 LPCVD 淀积, 另一种在低于 350 摄氏度下那个 PECVD 淀积 刻蚀氮化硅膜常用气体是 CF 年 12 月 22 日半导体设备行业深度报告 41

42 硅刻蚀应用于需要去除硅的场合, 如刻蚀多晶硅晶体管栅和硅槽电容 硅刻蚀最常采用干法刻蚀, 刻蚀对象为多晶硅和单晶硅 多晶硅刻蚀用于制作多晶硅栅, 传统选用氟基气体, 采用氯或溴化学气体可以产生各向异性刻蚀 单晶硅刻蚀主要用于制作沟槽, 如器件隔离沟槽或垂直电容的制作 浅槽用氟气, 深槽用氯基或溴基气体 金属刻蚀主要在金属层上去掉铝合金复合层, 制作出互联线 金属刻蚀要求较高的刻蚀速率 ( 大雨 1000nm/min) 和较高的均匀性 铝刻蚀通常用氯基气体, 纯氯刻蚀铝是各向同性的 钨金属常用于通孔填充, 常用氟基或氯基气体刻蚀 其他金属刻蚀还包括接触金属刻蚀, 一般采用氟基或氯基气体 国内企业在不同刻蚀工艺的刻蚀设备均有布局 北方华创自主研发的 HSE/DSE 系列 8 英寸深硅等离子刻蚀机成功进入海外生产线, 为马来西亚 8 英寸晶圆代工企业服务 中微半导体则在介质刻蚀与金属刻蚀方面有布局, 拥有双反应台介质刻蚀除胶一体机 单反应器甚高频去耦合反应离子介质刻蚀机等设备 图表 56. 刻蚀机全球公司市占率 AMAT, 15% Screen, 10% LAM Research, 40% 资料来源 : 谷歌, 中银证券 TEL, 20% 化学机械抛光 : 化学腐蚀 + 机械去除, 实现全局平坦化化学机械抛光 (Chemical Mechanical Planarization,CMP) 也叫化学机械研磨, 原理是化学腐蚀作用和机械去除作用相结合的加工技术, 是目前机械加工中唯一可以实现表面全局平坦化的技术 随着制程升级 导线与栅极尺寸的缩小, 晶圆制作对于晶圆表面的平坦程度的要求越来越高 化学机械研磨可以在保证材料去除效率的同时, 获得较完美的表面, 得到的平整度比单纯使用这两种研磨要高出 1-2 个数量级, 并且可以实现纳米级到原子级的表面粗糙度 研磨制程根据研磨对象不同主要分为 : 硅研磨 (Poly CMP) 硅氧化物研磨(Silicon oxide CMP) 碳化硅研磨(Silicon carbide CMP) 钨研磨(W CMP) 和铜研磨 (Cu CMP) CMP 设备在全球范围内的供应商有美国应用材料 美国诺发系统公司, 美国 Rtec 公司, 兰州兰新高科技产业股份有限公司, 爱立特微电子等 2017 年 12 月 22 日半导体设备行业深度报告 42

43 图表 57. 化学机械研磨示意图 资料来源 : 百度百科, 中银证券 图表 58. CMP 全球设备公司市占率 Ebara 25% Others 5% AMAT 70% 资料来源 : 百度百科, 中银证券 掺杂与热处理 : 掺入杂质, 退火激活, 控制导电性掺杂工艺通过在半导体材料表面掺入特定杂质来控制材料的导电性和导电类型, 主要有热扩散和离子注入两种工艺 掺入工艺中的杂质主要有两类 : 第一类是提供载流子的受主杂质或施主杂质 ( 如 Si 中的 B P As); 第二类是产生复合中心的重金属杂质 ( 如 Si 中的 Au) 离子注入工艺比热扩散工艺更适合于先进电路生产, 应用更广泛 离子注入设备通常具有注入源 离化反应室 质谱分析仪 加速管 中性束偏移器 聚焦系统 偏转扫描系统和靶室等结构 由于热扩散需要的温度更高 ( 约 1000 摄氏度 ), 易造成晶体损伤和横向扩散后的接触短路, 在横向扩散 超浅结 掺杂控制 表面污染干涉和位错等五个方面存在劣势 因此, 对于更先进制程的的集成电路制造而言, 离子注入工艺是更优先的选择 同时, 在离子掺杂工艺中使用光刻显影后的光刻胶薄膜作为离子注入掩膜, 可以提供与剥离工艺相同尺寸控制优势, 提高生产效率, 减少加热步骤 2017 年 12 月 22 日半导体设备行业深度报告 43

44 图表 59. 热扩散与离子注入工艺优缺点比较 工艺 优点 缺点 各向异性 ; 离子注入 工作温度较低 ; 表面损伤较大 ; 投射深度与剂量可精确控制 ; 需要退火与再扩散 ; 可以实现大面积均匀掺杂, 重复性好 ; 可选材料范围更广 ; 热扩散 比较容易获得高浓度与深结深 ; 表面损伤较小 ; 资料来源 : 半导体制造技术, 中银证券 各向同性 ; 可能产生晶体损伤 ; 扩散高温时间长, 造成侧向扩散后接触短路 ; 高温时间长对于各步掺杂的相互影响较难控制 ; 离子注入设备多集中于国外龙头公司, 国内中科信公司已量产供货 在全球 范围内的供应商有美国应用材料 美国 CHA 公司, 美国维利安半导体设备公 司, 中科信 上海硅拓微电子有限公司等 图表 60. 离子注入系统示意图 资料来源 : 百度百科, 中银证券 快速退火工艺 RTP(Rapid Thermal Processing) 在非常短的时间内 ( 数秒钟 ) 将硅片加热至 400~1300 温度范围, 实现激活退火 利用离子注入工艺在材料中掺杂杂质后, 需要使杂质原子处于替代 Si 原子的位臵上, 因此需要热处理进行激活退火, 生成多余或缺少的价电子, 以产生载流子, 达到控制材料导电性的目的 RTP 具有热预算少, 硅中杂质运动小, 玷污小和加工时间短等特点 除了用于离子注入后的激活退火,RTP 工艺还被用于半导体生产的金属合金化 沟道氧化 栅介质形成等工艺 快速退火机在全球范围内的主要供应商有 Mattson Technology 韦氏纳米 ASM 德国优尼坦公司等 2017 年 12 月 22 日半导体设备行业深度报告 44

45 4.4 封装测试 : 半导体后段制程, 根据产品需求设计 封装测试属于半导体器件制造后段流程, 根据产品的不同而采用不同的封装形式 封测厂商从测试好的晶圆开始, 经过晶圆减薄 贴片 切割 焊线 塑封 切筋 电镀 成型 终测 包装等步骤, 最终出货给客户 通过封装, 单个或多个芯片被包装成最终产品 图表 61. 封装测试流程图 资料来源 : 谷歌 中银证券 封装的主要功能是将芯片与电路板或者直接与电子产品相连 但由于芯片表面器件间的线路过于纤细和脆弱, 一般采用比芯片表面连线粗许多倍的金属线连接, 最细线的直径在 mm 左右 为了增强金属线强度, 引入了更为坚固的引脚系统 在封测工艺中, 相同面积芯片包含的管脚数可以表征芯片的密度, 更高密度的芯片要求更多的输入和输出连线点, 也就是管脚 单个引线间间距称为节距 在封装前的单个单元的裸露芯片叫做 die 由于在封装过程中, 不能对芯片造成损坏, 因此芯片本身的一些特性对于封装提出了更严格的要求 芯片的集成度决定了管脚数, 集成度越高, 管脚数越多, 芯片面积越大, 对划片 封装设计和晶圆减薄等工艺提出要求 另外, 晶圆表面的器件具有高环境敏感性, 对于化学污染和物理损坏极度敏感 图表 62. 封装形式演变历史, 先进封装是趋势 资料来源 : 拓璞产业研究所, 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 45

46 1970 年至今, 封装形式发生了多种变化 最初采用引脚数不超过 100 的 DIP 双列直插式封装, 随后演变成一系列 SMD 表面贴装型封装, 包括引脚数在 100 以上的 QFP 方型扁平式封装 QFN 方形扁平无引脚封装 ( 由 LCC 演变而来 ) 和 PGA 插针网格阵列封装技术, 随后又演变出一系列小外形封装, 包括 SOT 小外形晶体管和 TSOP 薄小外形封装 90 年代以后, 随集成技术进步 设备改进和深亚微米技术的使用, 芯片集成度不断提高, 引脚数急剧增加, 发展出了新的封装形式与封装工艺 为解决集成度提高需要更多引脚数的问题, 在原先引线键合工艺和 TAB 卷带式自动键合工艺之余, 发展出 BGA 球栅阵列工艺 针对裸芯片, 发展出 COB 板上芯片封装和倒装芯片封装 (Flip chip) 两种技术 单一芯片集成度低, 功能不够完善, 因此发展出在高密度多层互联基板上用 SMD 技术组成各式电子模块系统的 MCM 多芯片模块系统封装 封装工艺追求的目标是封装体更小 更低成本 更可靠 更快以及更高密度, 并提高整个封装后系统的电学功能 为追求更小的封装成品, 技术不断升级, 发展出 CSP 芯片尺寸封装, 封装后的 IC 尺寸边长不大于芯片的 1.2 倍,IC 面积不大于晶粒 (Die) 的 1.4 倍 为了工艺效率和成本利益, 越来越多的封装转向了 WLP 晶圆级封装 SiP 系统级封装 PoP 叠层封装和 2.5D 封装等更为先进的封装技术 互连工艺 : 封装核心连接工艺封装中最重要的工艺是将芯片与封装体相连接的互连工艺 主要有引线键合 卷带式自动键合 (TAB) 球栅列阵(BGA) 工艺等 其中 BGA 工艺适用于高密度组装, 一出现就成为 CPU GPU 与芯片组等高密度 高性能 多引脚封装的最佳选择 2017 年 12 月 22 日半导体设备行业深度报告 46

47 图表 63. 三种封装工艺对比 工艺引线键合 Wire Bonding TAB(Tape Automated Bonding) BGA(Ball Grid Array Package) 将单个芯片封装在一个封装体内, 或是在封装体基板的底部制作阵列焊球作将导电引脚连接到引脚框架, 并依次直接焊工艺内容直接装在电路板上和直接连接到三维为电路的 I/O 端与印刷线路板 (PCB) 互接在电路板上封装体上接 工序结构图 成品图 适合引脚数 细分 材料 优点 缺点 超声波键合热压键合热超声波键合 铝合金线 ( 铝 1% 硅或铝 0.5~1% 镁 ) 金线 (99.99%, 添加 5-10ppm 铍或铜 ) 铜线 资料来源 : 行业资料 中银证券 历史悠久, 技术基础好 ; 加工灵活性 ; 材料 / 基片成本占有优势 ; 单元化操作, 限制了速度 ; 设备的焊接精度已经达到极限 ; 凸块化载带 TAB 凸块化芯片 TAB 铜线 ( 改善热耗散性能 ) PBGA( 塑料焊球阵列 ) 封装 CBGA( 陶瓷焊球阵列 ) 封装 CCGA( 陶瓷柱栅阵列 ) 封装 TBGA( 载带型焊球阵列 ) 封装 金 铜 镍 / 锡 铜 / 铅 - 锡 铟 铅 / 锡 杂散电容和电感更小, 信号传输延迟小, 适用于高频 高速电路和高密度矩形截面引线, 电感小, 避免信号换地延迟组装 ; 和畸变 ; 增加了引脚数, 但引脚间距并没有减引线健合面积小, 增加引脚密度 ; 小反而增加了, 从而提高了组装成品在最终封装前进行预测试和通电老化, 剔除率 ; 坏芯片, 节省成本 ; 能用可控塌陷芯片法焊接, 从而改善键合平面低, 器件更薄 ; 电热性能 ; 厚度更薄, 重量更轻 ; 组装可用共面焊接, 可靠性高 ; 需要制作长的有凸块的芯片, 工艺较困难 ; 功耗增加 ; 目前, 引线键合工艺仍旧是集成电路封装的主要技术, 主要用于低成本的传统封装, 中档封装和内存芯片堆叠等, 其关键设备是引线键合机 全球最大的封测代工厂台湾日月光半导体制造公司 (ASE) 拥有一个近 台焊线机的安装基地, 能够生产多种封装类型, 引线键合就是其中的主力 引线键合机在全球范围内的主要供应商有美国奥泰公司 德国 PTP 公司 奥地利 FK 公司 马来西亚友尼森等 完成芯片与封装体连接后, 需要将芯片与引线框架包装起来 这一工艺根据封装材料不同, 可分为金属封装 陶瓷封装 金属 陶瓷封装和塑料封装 由于塑料封装成本更低, 工序少, 在可靠性 尺寸以及重量等方面均具有优势, 在涉及民用消费电子领域的半导体封装占有 90% 的市场份额 在军用电子设备方面, 陶瓷与金属封装仍然占有很高比例 2017 年 12 月 22 日半导体设备行业深度报告 47

48 图表 64. 不同材料封装适用工艺 封装材料金属陶瓷金属 陶瓷塑料分立器件封装包括同轴型分立器件封装, 包括 A 光电器件封装包括带光窗型 带透镜型和带光纤型 ; 和带线型 ; 型和 F 型 ; 适用封装分妒器件封装包括 A 型 B 型和 C 型 ; DIP SIP PGA 单片微波集成电路 (MMIC) 封集成电路封装包括形式混合电路封装包括双列直插型和扁平型 ; PLCC QFP BGA 装包括载体型 多层陶瓷型 SOP DIP QFP 特殊器件封装包括矩正型 多层多窗型和无磁材料型 和金属框架一陶瓷绝缘型和 BGA 等低电阻率的布线解决多层陶瓷和金属材料尺寸严格 精度高 金属零件便于大量生产 ; 导体材料, 低介成本低廉 工艺简单, 优点的不同膨胀系数问题, 保证价格低 性能优良 封装工艺容易灵活 ; 电常数, 高导电并适于大批量生产其可靠性率的绝缘材料 适用元件 晶体管和混合集成电路如振荡器 放大器 鉴频器 交直流转换器 滤颇器 继电器等等产品上, 现在及将来许多微型封装及多芯片模块 (MCM) 也采用此金属封装 资料来源 : 行业资料 中银证券 微波毫米波二极管 微波低噪声三极管 微波毫米波功率三极管 先进封装技术 :FOWLP 与高端 SiP 最为先进 先进封装技术主要包括倒装芯片封装 晶圆级封装和系统级封装 其中属于晶圆级封装的扇出型封装 (FOWLP) 与高端系统级封装 (SiP) 是当前封测领域最先进的技术 图表 65. 先进封装技术对比表格 先进封装倒装芯片封装晶圆级封装系统级封装 示意图 技术特点 优点 资料来源 : 行业资料 中银证券 芯片倒转, 锡铅球与陶瓷基板相结合 封装密度高 ; 处理速度快 ; 对整片晶圆进行封装测试, 再切割得到单个芯片 ; 不同芯片进行并排或叠加封装 ; 芯片尺寸与裸片一致, 大幅降低封装高集成度, 更高的带宽, 更低的功耗, 后的 IC 尺寸 ; 更小的封装外形, 更强的功能, 能够混 高性价比 ; 合不同工艺节点制程 ; 倒装芯片 (Flip Chip,FC) 封装即指倒装芯片球栅格阵列 (FC-BGA) 封装, 是芯片级封装 CSP 的一种 FC-BGA 利用 BGA 工艺, 在 I/O pad( 即引脚焊盘 ) 上沉积锡铅球, 然后将芯片翻转加热, 利用熔融的锡铅球与陶瓷基板相结合 这一封装形式的芯片结构和 I/O 端 ( 即锡球 ) 方向朝下,I/O 引出端 ( 即引脚 ) 分布于整个芯片表面, 故在封装密度和处理速度上已达到顶峰 FC-BGA 可以采用类似 SMT 技术的手段加工, 是芯片封装技术及高密度安装的最终方向 晶圆级封装 (Wafer Level Package,WLP) 指对整片晶圆进行封装测试后再切割得到单个芯片成品, 封装后芯片尺寸与裸片一致 传统封装先切割再封测, 封装后约比原晶片尺寸增加 20%,WLP 则先对整个晶圆进行封装和测试, 然后划线分割, 因此大幅降低封装后的 IC 尺寸 WLP 技术利用重分布层 (RDL) 直接将芯片与 PCB 做连接, 省去了传统封装 DA(Die attach) 工艺, 节省了工艺成本, 还降低了封装颗粒的尺寸与厚度, 同时也绕过 DA 工艺对良率造成的诸多影响 WLP 技术多用于强调轻薄短小特性的可携式电子产品 IC 封装应用 WLP 封装时不需封装基板, 有非常高性价比的优势, 如果尺寸, 工艺, 布线和引脚数量满足需求时,WLP 可能是成本最低的封装形式 2017 年 12 月 22 日半导体设备行业深度报告 48

49 WLP 还可以细分为扇出型封装 (Fan-Out WLP) 扇入型封装(Fan-In WLP) Fan-Out WLP 技术先将芯片切割分离, 然后将芯片镶埋在面板内部, 外部面板由环氧树脂进行封胶制成, 可应用于引脚数量较多的 IC Fan-Out 采取向外拉线方式, 每放臵一颗裸晶, 就可以省去一层封装, 降低了封装尺寸和成本 Fan-In WLP 是最初的 WLP 技术, 应用于引脚数量较少的 IC 随着 IC 信号输出引脚数增加, 对焊球间距的要求趋于严格, 而且印刷电路板构装对于 IC 封装后尺寸以及信号输出引脚位臵具有新的调整需求, 于是产生了 Fan-Out WLP Fan-In WLP 单位面积的引脚数相对于 FC BGA 有提升, 但当芯片面积缩小时, 可容纳的引脚数减少,Fan-out WLP 实现了在芯片范围外充分利用重布线层 (RDL) 做连接, 获得了更多的引脚数 图表 66. 扇入型与扇出型结构对比 资料来源 : 百度图片, 中银证券 相比倒装芯片球栅格阵列 (FC-BGA) 封装,InFO 优势明显 对于无源器件如电感 电容等,InFO 技术在塑封成型时衬底损耗更低, 电气性能更优秀, 外形尺寸更小, 带来的好处则是热性能更佳, 在相同的功率分配下工作温度更低, 或者说相同的温度分布时 InFO 的电路运行速度更快 FOWLP 技术在台积电推动下发展迅速, 逐渐占领移动终端封装市场 最初扇出型封装由英特尔移动推动, 应用主要局限于手机基带芯片的单芯片封装 2016 年台积电 InFO(Integrated Fan-Out WLP) 技术实现量产, 台积电凭借 InFO 技术优势拿下苹果 iphone 7 的 A10 处理器订单, 大大推动了 FOWLP 的量产与发展,FOWLP 也逐渐占领移动终端封装市场 台积电 InFO 技术实现了封装厚度 250um,RDL 间距 10um 的封装水准 目前的扇出封装精度在 5μm 及以上, 正朝着 2μm 发展,1μm 的扇出封装技术可能会在 2020 年左右出现 系统级封装 (System in Package,SiP) 采用不同芯片进行并排或叠加的方式, 封装形成系统 SiP 将多个具有不同功能的有源电子元件与可选无源器件, 以及诸如 MEMS 或者光学器件等其他组件优先组装到一起, 实现一定功能的单个标准封装件, 形成一个系统或者子系统 SiP 的封装产品具有高集成度, 相对于传统集成方法的产品具有系统级优势, 同时拥有更高的带宽 更低的功耗 更小的封装外形 更强的功能等优点, 制程上还能够混合不同工艺节点 2017 年 12 月 22 日半导体设备行业深度报告 49

50 图表 67. 传统芯片集成与 SiP 对比 资料来源 :Intel FPGA 官网, 中银证券 当前封测领域最先进的是扇出型圆片级封装和高端 Sip 技术 Fan-out WLP 功能指向在更小的封装面积下容纳更多的引脚数 ;SiP 功能指向封装整合多种功能芯片于一体, 压缩模块体积, 提升芯片系统整体功能性和灵活性 先进封装技术将继续主导解决计算和通信领域的高端逻辑器件和存储器件需求, 并进一步扩张至高端消费类 移动领域的模拟和射频市场 先进封装市场逐渐增长, 工艺替代, 制程形成交叉 先进封装设备市场总体需求将在 年将快速增长 工艺方面,FCBGA 工艺逐步替代引线键合工艺, 半导体制程前道工艺向半导体封装工艺侵蚀, 电子 PCB 板级 SMT 工艺向半导体封装工艺侵蚀, 各类制程间形成工艺交叉 图表 68. 先进封装工艺趋势 资料来源 : 行业资料 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 50

51 五 中国半导体设备行业方兴未艾 5.1 投资需求增加, 设备率先受益 2017 年第二季度全球半导体设备成交额创新高,17 18 年有望连续创造历史新高 近 10 年, 全球半导体设备投资规模保持在 亿美元 根据 SEMI 数据,2017 年第二季度全球半导体制造设备成交额创新高, 达 141 亿美元, 较 2017 年第一季度环比增长 8% 同时, 根据 SEMI 预计,2017 年有望达到 559 亿元, 创下历史新高 ;2018 年有望达到 600 亿美元的规模 全球半导体设备投资额呈上升趋势 图表 69. 全球半导体设备投资额呈向上增长趋势 ( 亿美元 ) (%) % 0-4 (10) (20) 2011 年 2012 年 2013 年 2014 年 2015 年 2016 年 2017 年 2018 年 资料来源 :CSIA 中银证券 设备投资额 ( 左轴 ) yoy( 右轴 ) 中国半导体产业的发展带动了整个产业链的投资需求, 半导体设备率先获益 近年来中国半导体设备投资增速全球第一, 投资额逐年提高, 全球占比逐年增加, 保持全球占比前三名 预计 2017 年投资额或将达到 70 亿美元 随着半导体产业加速向中国转移,16 年中国半导体设备市场需求增长 32%, 居各国家地区成长之首, 一举超越日本和北美, 成为世界第三大市场 年中国半导体设备市场在全球市场占比将持续提高, 分别达到 13% 和 15% 图表 70. 中国半导体设备市场规模逐年上升 ( 亿美元 ) (%) (10) 20 (20) 10 (30) 0 (40) 中国半导体设备销售额 ( 左轴 ) 增速 ( 右轴 ) 资料来源 : 万得资讯 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 51

52 图表 71. 中国半导体市场占全球的比重逐渐提高 ( 年 ) 其他地区 10% 欧洲 6% 美国 15% 中国 9% 日本 11% 其他地区 9% 欧洲 5% 美国 11% 中国 13% 日本 12% 其他地区 5% 欧洲 7% 美国 12% 中国 15% 日本 12% 台湾 28% 资料来源 : 万德数据 中银证券 韩国 21% 台湾 28% 韩国 22% 台湾 23% 2014 年 2015 年 2016 年 韩国 26% 产能 - 投资关系 :1K 片 / 月产能, 对应固定资产投资约 1 亿美元 晶圆厂投资中, 设备占比 70%, 基建占比 30% 设备投资中, 晶圆制造设备 (Front-End) 占比 接近 80%, 包括薄膜设备 (CVD/ PVD) 光刻设备 刻蚀设备 清洗设备等 ( 前 三者占制造设备总投资比例 75% 左右 ), 其余 20% 对应封装设备 测试设备 (Back-End) 及其他设备 图表 72. 中半导体生产线投资, 设备占比 70% 基建投资 30% 资料来源 : 万得资讯 中银证券 半导体设备 70% 图表 73. 半导体核心设备价值量占比, 光刻机占比最大 测试设备 10% 扩散设备 5% 化学机械抛光 CMP 5% 离子注入设备 5% 光刻机 30% 化学气相沉积 CVD 10% 资料来源 : 万得资讯 中银证券 物理气相沉积 PVD 15% 刻蚀机 Etch 20% 2017 年 12 月 22 日半导体设备行业深度报告 52

53 设计产能的需求决定了对设备的需求量 根据加州大学伯克利分校的理论, 假设一个晶圆厂每月有 50,000 个晶圆制造能力, 晶圆厂可能需要以下设备 : 50 台扫描式 / 步进式光刻机 ; 10 台大电流和 8 个中等电流离子注入机 ; 40 台刻蚀机 ; 30 种 CVD 工具 ; 若干清洗系统和过程控制设备 ; 检测设备前道 50 台, 后道几百台左右 晶圆厂是使用自动化材料处理系统 (AMHS) 的自动化工厂 为此, 芯片在一种称为前端开启式晶圆传送盒 (FOUP) 的封闭容器中进行加工和运输 使用高架式芯片运输车 (OHT) 系统将 FOUP 从一组设备运送到另一组 据 Daifuku 说, 在大型晶圆厂中,OHT 轨道可以长达 10 公里 图表 74. 晶圆厂运输系统示意图 资料来源 :Daifuku 中银证券 5.2 半导体设备全球竞争格局 : 呈现寡头垄断 前十大设备厂商总市场占有率超 90%, 呈现典型的寡头垄断 根据 SEMI 的统计,2014 年全球半导体设备市场规模为 375 亿美元, 前十大半导体设备厂商的销售额为 351 亿美元, 市场占有率高达 93.6%, 行业处于寡头垄断局面 沉积设备全球前三家市占率共计 70% 左右 ; 印刷设备 TEL 几乎垄断 ; 先进光刻机 ASML 一家独大 ; 退火设备 刻蚀设备 和过程控制设备的前三家市占率总和分别为 77%,75%, 和 75% 2017 年 12 月 22 日半导体设备行业深度报告 53

54 图表 75. 国外龙头公司占据各类设备绝大多数市场份额 设备 公司及市场占比 晶圆 (Wafer) 沉积设备 (Deposition) 印刷设备 (Lithography) 光刻设备 (Photoresist) 掺杂 / 退火设备 (Doping/thermal) 蚀刻设备 (Etching) 化学抛光设备 (CMP) 过程控制设备 (Process control) SUMCO: 30% AMAT: 40% TEL: 90% ASML:75% AMAT:60% Lam Research:40% AMAT:70% KLA-Tencor:50% ShinEtsu: 30% Lam Research: 15% Screen:5% Nikon:10% Axcelis:10% TEL:20% Ebara:25% AMAT:10-15% Sillionic: 10~15% TEL: 15% 沈阳芯源沈阳芯源 Hitachi kokusai:7% AMAT:15% 中电集团 Hitachi high-tech:10% Zing Semib(CN) ASMI: 8% 上海微电子 北方华创 Screen 10% 华海清科 上海睿励 上海合晶硅材料有限公司 Waferworks Hitachi kokusai: 7% Mattson AMEC(CN) HMI 环球晶圆 GlobalWafers 北方华创 中科信 北方华创 MA-tek 资料来源 : 半导体协会 中银证券 沈阳拓荆中国电子科技集团 Mattson(CN) AIBT ACM Research(CN) Scientech GPT Csun 从地域分布来看, 全球知名的半导体设备制造商主要集中在美国 日本 荷 兰这三大半导体装备制造的三大强国 图表 76. 全球半导体设备龙头集中在欧美和日本 资料来源 :SEMI 中银证券 图表 77. 美 日 荷三国在不同领域各有所长 美国日本荷兰 等离子刻蚀设备离子注入机薄膜沉积设备掩膜板制造设备检测设备测试设备表面处理设备等 资料来源 :SEMI 中银证券 光刻机刻蚀设备单晶圆沉积设备晶圆清洗设备涂胶机 / 显影机退火设备检测设备测试设备氧化设备等 高端光刻机外延反应器垂直扩散炉 5.3 中国大陆政策 + 资金, 助力半导体产业发展 信息安全 进口替代是国内发展半导体产业的内在动力 中国品牌的崛起拉 动国内半导体需求增长, 产业转移与自身需求促使中国大陆半导体市场发展 2017 年 12 月 22 日半导体设备行业深度报告 54

55 迅速, 中国已经成为发展速度最快的主体市场 自 2014 年至今, 国家相继推出一系列扶持政策, 推动集成电路产业加速发展 随着国家促进集成电路产业的政策环境不断完善, 以协同创新 开放合作为特征的国内集成电路产业正呈现出全新格局 在国家及各地各级政府的共同努力下, 我国集成电路产业结构持续优化 集成电路市场稳定增长 企业创新能力有望进一步提升 中国制造 2025 规划中明确提出: 在 2020 年之前,90~32 纳米工艺设备国产化率达到 50%, 实现 90 纳米光刻机国产化, 封装测试关键设备国产化率达到 50%; 在 2025 年之前,20~14 纳米工艺设备国产化率达到 30%, 实现浸没式光刻机国产化 ; 到 2030 年, 实现 18 英寸工艺设备 EUV 光刻机 封测设备的国产化 图表 78. 中国政策持续出台, 助力半导体产业发展 资料来源 : 政府网站 中银证券 国家政策持续支持, 发展环境优越 政府从产业政策 出口政策 税收政策 投融资政策等多方面都给予半导体企业持续扶持和优惠 近年来, 一系列出 台的国家政策对半导体设备国产化提出了明确要求 图表 79. 半导体产业相关政策密集, 发展环境优越 颁布时间 颁布部门 国务院 国务院 国务院 国家发改委 科技部等 政策 鼓励软件产业和集成电路产业发展的若干政策 国务院关于加快培育和发展战略性新兴产业的决定 进一步鼓励软件产业和集成电路产业发展的若干政策 当前优先发展的高技术产业化重点领域指南 (2011 年度 ) 相关内容 通过一系列的投融资政策 税收政策 产业技术政策 出口政策 收入分配政策 人才吸引与培养政策等优惠政策, 鼓励资金 人才等资源投向软件产业和集成电路产业 确定重点发展的战略性新兴产业包括新一代信息技术在内的七大方向 ; 其中新一代信息技术领域重点包括集成电路产业, 以及物联网 三网融合等领域提出鼓励 支持软件企业和集成电路企业加强产业资源整合, 将对集成电路产业的支持提升到和对软件产业同等的重要地位上 ; 在财税 投融资 研发 进出口 人才 知识产权保护 市场等多方面, 给予多项优惠与扶持 确定了包括集成电路在内的当前优先发展的 137 项高技术产业化重点领域 工信部 提出着力发展芯片设计业, 开发高性能集成电路产品 支持集成电路企业在 集成电路产业 十二五 发展规划 境内外上市融资, 引导金融证券机构积极支持集成电路产业发展, 支持符合条件的创新型中小企业在中小企业板和创业板上市 到 2015 年,32/28 纳米制造工艺实现规模量产, 65-45nm 关键设备和 12 英寸硅 工信部 国家集成电路产业发展推进纲要 片等关键材料在生产线上得到应用 ; 到 2020 年, 全行业销售收入年均增速超 过 20%,16/14nm 制造工艺实现规模量产, 关键装备和材料进入国际采购体系 ; 2017 年 12 月 22 日半导体设备行业深度报告 55

56 工信部 国开金融等 国家集成电路产业投资基金 到 2030 年主要环节达到国际先进水平并进入国际第一梯队 重点投资集成电路芯片制造业, 兼顾芯片设计 封装测试 设备和材料等产业, 实施市场化运作 专业化管理 国务院 中国制造 2025 将集成电路列于重点关注的新一代信息技术产业首位 科技部 微电子技术 : 集成电路设计 集成电路封装技术 集成电路测试技术 芯片制造技术 集成光电子器件技术 资料来源 : 国家政府网站, 中银证券 千亿规模大基金成立, 配套地方基金有利支持 国家集成电路产业投资基金 ( 简称为大基金 ) 于 2014 年 9 月成立, 明确支持国内半导体公司 截止 2017 年 9 月, 首期募资 1393 亿元的大基金累计投资 55 个项目, 共承诺出资 1003 亿元, 占首期募集自己的 72%, 实际出资 653 亿元 加之超过 6000 亿元的地方基金以及私募股权投资基金投入半导体行业, 给中国半导体产业带来了历史性的发展机遇 此外, 大基金二期已进入筹备阶段, 预计 18 年能够推出 图表 80. 大基金一期投资进入尾声, 二期呼之欲出 ( 亿元 ) 资料来源 : 大基金官方网站 中银证券 国家集成电路投资基金计划投资金额 图表 81. 配套地方政府半导体专项基金 资料来源 : 大基金官方网站 中银证券 2017 年 12 月 22 日半导体设备行业深度报告 56

57 图表 年已有 9 支地方政府基金逾 3800 亿元参与投资 时间 地点 基金名称 用途 投资金额 2015 湖北 产业基金 半导体制造业, 兼顾设计 封测等上下游产业链 300 亿元 2015 广东 广东省集成电路产业投资基金 投向集成电路设计 / 制造 / 封测及材料装备等产业链重大和创新项目 100 亿 2015 深圳 促进深圳在存储器领域的进一步发展, 鼓励技术创新 / 实现跨越目标 200 亿元, 首深圳市集成电路产业投资基金式发展期 100 亿元 2016 北京 产业基金 聚焦投资 IC 设计 制造 封装 测试 核心设备等关键环节 300 亿元 2016 福建 福建省安芯产业投资基金 IC 设计 制造 封测 材料 设备和应用等全产业链生态 500 亿元 2016 上海 上海市集成电路产业基金 集成电路制造 300 亿元 IC 设计 100 亿元以及半导体材料领域目标 500 亿元, 首 100 亿元期 285 亿元 2016 湖南 湖南国微集成电路创业投资基金 集成电路设计 集成电路应用 集成电路装备与材料 目标 50 亿元, 首期 2.5 亿元 2016 厦门 厦门国资紫光联合发展基金 针对 IC 设计 制造 网络 大数据及产业并购与金融等领域深度合作 160 亿元 2016 四川 四川省集成电路与信息安全产业投资基金 扶植壮大四川优势集成电路相关产业 目标 亿元 2016 辽宁 辽宁省集成电路产业投资基金 推动辽宁省集成电路产业及与之相关的新一代信息技术产业目标 100 亿元, 首在建 扩建项目资金需求和建设进度 期 20 亿元 2016 陕西 围绕集成电路制造 封装 测试 核心装备等产业关键环节的目标 300 亿元, 首陕西省集成电路产业投资基金重点项目 半导体功率器件和半导体 光电子集成等技术创新期 60 亿元平台和产业化项目 2017 安徽 安徽省集成电路产业投资基金重点投资集成电路晶圆制造 / 设计 / 封测 / 装备材料等全产业领域人民币 300 亿元 2016 南京 南京市集成电路产业专项发展基金 推动南京集成电路产业发展 目标 600 亿元 2017 无锡 重点聚焦 培育若干个国内外致命的集成电路龙头企业, 扶持无锡市集成电路产业投资基金一批中小型集成电路企业 200 亿元 2017 昆山 海峡两岸集成电路产业投资基金 引导社会资本投资, 对外并购 收购 目标 100 亿元, 已启动 10 亿元 资料来源 : 谷歌, 中银证券 图表 83. 大基金的投资策略 : 制造 设计 测封领域各有重点 制造领域 设计领域 封装测试领域 装备与材料领域 提升先进工艺制造能力, 加快存储芯片规模化量产, 布局 DRAM 和新型存储器 ; 促进超越摩尔领域特色制造工艺资源整合, 增强特色工艺专用芯片制造能力, 带动 MEMS 传感器 电源管理 高压驱动 功率器件 IGBT 显示驱动等芯片设计水平的提升支持设计骨干企业的壮大, 扩大对国内设计龙头企业的投资覆盖 ; 通过对接重大专项成果, 在 CPU 和 FPGA 等高端芯片领域开展投资, 提升高端芯片的产业化能力 ; 加强与子基金 社会资本协同投资, 在重点应用领域布局项目, 推动实现重点领域芯片产品及市场研发支持国内骨干企业规模扩张和竞争力提升以及差异化发展, 推动企业提升先进封测产能比重依托重大专项成果, 推进光刻 蚀刻 离子注入等核心设备, 抓住产能扩张的时间窗口, 扩大装备应用 ; 推动大硅片 光刻胶等关键核心材料的产业化, 推动高纯电子气体 化学品等形成持续稳定供应能力 资料来源 : 大基金官方网站 中银证券 根据以上投资策略, 截止到目前, 大基金共投资企业 40 家, 承诺投资金额 1003 亿元 其中制造领域企业 8 家,IC 设计领域企业 12 家, 封测领域企业 4 家, 装备与材料领域企业 11 家 2017 年 12 月 22 日半导体设备行业深度报告 57

58 图表 84. 大基金投资细分行业金额占比, 制造业超过六成 设计业 17% 封测业 10% 装备材料 8% 芯片制造业 65% 资料来源 : 大基金官方网站 中银证券 图表 85. 大基金投资的主要公司 资料来源 : 大基金官方网站 中银证券 5.4 设备国产化正当时, 新秀公司全面崛起国产设备种类齐全, 覆盖半导体的主要工艺 我国在半导体设备领域有更多布局, 设备公司已覆盖半导体制程的大部分前道工艺 2017 年 12 月 22 日半导体设备行业深度报告 58

59 图表 86. 我国半导体设备厂商集中度较高, 主要分布一线城市 资料来源 : 中国半导体行业协会 中银证券 集成电路设备国产化刚刚开始, 一些优秀的厂商在市场中崭露头角 2015 年我国大陆地区半导体专用设备市场规模达 亿元, 其中国产设备销售额仅为 亿元, 国产化率不足 8% 国产设备厂商中开始有新秀崛起, 其中主要包括中电科技集团公司 中微半导体设备公司 上海微电子设备研究所 晶盛机电公司 沈阳拓荆科技和长川科技等 图表 年前 10 名半导体设备供应商销售收入均过亿 单位名称 2016 年半导体设备销售收入 ( 亿元 ) 1 中电科电子装备集团有限公司 浙江晶盛机电股份有限公司 深圳市捷佳伟创新能源装备股份有限公司 北方华创科技集团股份有限公司 中微半导体设备 ( 上海 ) 有限公司 上海微电子装备有限公司 北京京运通科技股份有限公司 天通吉成机器技术有限公司 盛美半导体设备 ( 上海 ) 有限公司 格兰达技术 ( 深圳 ) 有限公司 1.50 资料来源 : 中国半导体行业协会 中银证券 国产设备处于快速追赶期, 已取得诸多新进展 2016 年, 国产高端集成电路设备技术和市场竞争力迈上新台阶 年中芯国际北京厂使用国产设备加工的 12 英寸晶圆突破一千万片, 标志着集成电路国产设备在市场化大生产中得到充分验证 年 12 英寸晶圆先进封装 测试生产线设备实现国产化, 生产线设备国产化率可达到 70% 以上 3 国产设备与国际先进水平之间的差距已大幅缩短, 新制程工艺设备研发周期不断变短 2017 年 12 月 22 日半导体设备行业深度报告 59

60 图表 88. 国产设备正加速追赶国外工艺水平 资料来源 : 北方华创 中银证券 近年来, 我国集成电路装备产业发展取得了显著进展 上海中微半导体的 90nm-65nm 45nm-32nm 等离子体介质刻蚀机, 北方华创的 65nm 硅栅刻蚀机已通过 12 英寸片生产线的考核验证, 并实现销售 上海微电子装备的先进封装光刻机 北方华创的 12 英寸氧化炉 盛美半导体的 12 英寸单晶圆兆声波清洗机等设备均已进入大线试用 中科信 12 英寸大角度离子注入机已完成 3 台样机组装, 正在进行测试验证 图表 英寸国产半导体设备已成功研发 核心设备 设备企业 1 28nm 金属物理气相沉积系统 PVD(16 腔 ) 北方华创 2 28nm 高密度等离子硅刻蚀机 (3 台 ) 北方华创 3 铜互联单片清洗机 北方华创 4 28nm 立式氧化炉 北方华创 5 铜工艺单片退火设备 北方华创 6 高性能硅外延设备 北方华创 7 双反应台刻蚀除胶一体机 中微 nm 单反应台等离子体刻蚀机 中微 nm 大角度中束流离子注入机 中科信 nm 单片晶圆兆声波清洗设备 盛美 11 化学机械研磨设备 天津华海清科 nm 等离子体增强化学气相沉积设备 (PECVD) 沈阳拓荆 资料来源 : 公司公告, 中银证券 02 专项为集成电路研发提供强有力的支持 极大规模集成电路制造技术及成套工艺 项目是 2006 年国家设立的 16 项国家重大专项中的第二项, 故被称为 02 专项 专项旨在开发集成电路关键制造装备, 成套先进工艺及相关新材料技术, 打破我国高端集成电路制造装备与工艺完全依赖进口的状况, 带动相关产业的技术提升和结构调整 2017 年 12 月 22 日半导体设备行业深度报告 60

61 图表 专项部分科研成果 资料来源 : 重大科技专项官方网站 中银证券 图表 专项助力中国半导体设备的发展 资料来源 : 重大科技专项官方网站 中银证券 图表 专项资助的项目, 多已进入正线生产 序号 类型 厂商 技术节点 1 介质刻蚀机 中微半导体 65-28nm 2 硅刻蚀机 北方华创微电子 65-29nm 3 PVD 设备 北方华创微电子 65-30nm 4 单片退火设备 北方华创微电子 65-31nm 5 清洗设备 北方华创微电子 65-32nm 6 清洗机 上海盛美 65-33nm 7 立式炉 北方华创微电子 65-34nm 8 离子注入机 北京中科信 65-35nm 9 光学尺寸测量设备 睿励科学仪器 65-36nm 10 PECVD 设备 沈阳拓荆 65-37nm 11 光罩清洗设备 瑞择微电子 90nm 资料来源 : 国家科技重大专项官网 中银证券 此外,02 专项支持的 14nm 制程设备已率先研发, 陆续进入上海某试验线进入 实验测试阶段, 其中北方华创的产品种类最多 2017 年 12 月 22 日半导体设备行业深度报告 61

62 图表 专项资助 14nm 工艺设备已提前研发 序号 类型 厂商 1 硅刻蚀机 北方华创微电子 2 HM PVD 设备 北方华创微电子 3 单片退火设备 北方华创微电子 4 LPCVD 北方华创微电子 5 AL PVD 设备 北方华创微电子 6 ALD 北方华创微电子 7 介质刻蚀机 中微半导体 8 光学尺寸测量设备 睿励科学仪器 9 清洗机 上海盛美 资料来源 : 国家科技重大专项官网 中银证券 中国半导体设备需求增长, 进口替代初现成果 2016 年集成电路设备进口负增长, 本土设备替代进口成效初显 海关进口信息显示, 根据中国海关进口信息显示,2016 年集成电路设备进口中, 化学气相沉积装臵进口无增长, 等离子体干法刻蚀机进口同比减少 17.5%, 前者是我国进口装备数量的前五名, 后者则是金额占比最大的进口半导体设备 图表 年我国半导体设备进口产品结构 晶圆切割设备 5% IC 工厂专用自动搬运机器人 5% 塑封机 2% 晶圆研磨设备 1% 硅单晶炉 0% 化学气相沉积设备 3% 离子注入机 6% 物理气象沉积设备 7% 等离子体干法刻蚀机 30% 氧化 / 扩散炉 9% 引线键合机 13% 分步重复光刻机 19% 资料来源 : 中国海关官网, 中银证券 5.4 国内半导体设备产业投资值得关注的方向机遇 1: 政府基金支持开始与国产装备采购挂钩 国家大基金及各级地方政府的集成电路产业投资基金中超过一半将用于晶圆生产线投资 政府基金将会推动国内制造企业和国内装备企业间的合作 未来, 大基金对晶圆制造项目投资均会要求对方承诺国产装备采购金额, 北京 上海等集成电路装备产业发达地区的政府基金有望跟进 机遇 2: 半导体产业加速向中国转移中国在政府的大力支持下, 半导体市场需求量全球最大, 中国产业链完整, 下游客户优质和需求旺盛 OPPO VIVO 华为 小米移动终端厂商均为全球出货量前列, 对智能手机芯片需求旺盛 ; 华为参与并引导了 5G 的发展, 对芯片的需求增加 ; 京东方 华星光电等面板厂商对面板驱动的需求 优质的下游客户对半导体芯片需求日益增长 2017 年 12 月 22 日半导体设备行业深度报告 62

63 半导体制造三业均有进入全球第一方阵的企业 设计端 (Fabless): 海思半导体 紫光 美商半导体 ; 制造端 (Foundry): 中芯国际 华虹宏力 华力 华润微电子 武汉新芯 上海先进半导体 ; 封测端 (OSAT): 长电科技 天水华电 和通富微电 机遇 3: 技术水平快速提升, 国产设备已打入国际主流客户供应链技术突破是拥抱市场的最佳利器 : 由于国外设备的禁运和技术封锁, 我国半导体产业在先进制程上的制造能力受限, 产品空缺, 由此增加设备国产化的迫切需求 目前, 国产设备技术处于跟随状态, 关键技术能否突破瓶颈, 国产化替代能否完成将影响中国整个半导体产业链的发展 中微的介质刻蚀机台被美 日 韩 台的大客户 ( 均为全球前十的半导体厂商 ) 广泛采用 中微半导体成功研发刻蚀机和 MOCVD 设备, 打破国外垄断格局, 公司产品市占率不断提高, 其中 MOCVD 在国内蓝光 LED 新增市场市占率已经超过 80% 北方华创持续开拓新产品和新市场 2017 年公司研发的金属刻蚀设备 14nm 制程的 ALD 设备等成功研发, 立式氧化炉产品首次进入长江存储公司 北方华创的 PVD 机台也获得了了联电 ( 厦门联芯 ) 和力晶 ( 合肥晶合 ) 的订单 盛美的 12 吋晶圆兆声波清洗机台打入了海力士的量产线 芯源的显影设备被台积电 ( 南京 ) 一次性采购 16 台 以中微 北方华创 盛美 荆拓 芯源为代表的中国集成电路装备企业, 技术水平在国内处于领先地位, 部分产品不仅进入国内主流客户的大生产线, 还通过了国际大厂的生产线验证, 并获得批量订单 图表 年北方华创持续推出新产品和开拓新市场 日期 产品 型号 目标公司 状态 nm 单片清洗机 Saqua 中芯国际 正线生产 单晶炉 台湾友达晶材 量产 nm 硬掩模物理气相沉 exitin Ⅱ H430 PVD 验证 积设备 英寸金属刻蚀机 NMC508M Metal Etch 中芯国际 正线生产 英寸立式氧化炉 THEORIS O302 长江存储 正线生产 英寸 ALD Polaris A630 ALD 上海集成电路研发中心 试验线 资料来源 : 公司官网, 中银证券 机遇 4: 晶圆厂产能建设加剧硅晶片短缺, 供不应求将持续到 2020 年目前, 全球硅晶片呈现供不应求的状态 2017 年 1 季度全球半导体晶圆合约价平均涨幅达 10%,20nm 以下先进制程的晶圆价格直接上涨 10 美元 由于生产半导体的主要材料矽晶圆供应持续吃紧, 或导致 12 寸硅晶圆产能出现每月一万片的缺口 ( 日本 SUMCO), 通过现有产线小量扩产的方式抹平缺口可能会需要 1 年半的时间, 即最乐观估计最早到 2020 年达到供需平衡 今年上半年, 半导体行业传统的淡季现象没有出现, 市场需求呈持续成长态势 国际半导体产业协会 (SEMI) 硅晶圆制造部门的产业分析报告显示, 今年第 2 季全球半导体硅晶圆出货面积达 亿平方英寸, 与第 1 季相比的季增 4.2% 并且连续 5 季创下历史新高, 与去年同期相比, 成长超过 10% 2017 年 12 月 22 日半导体设备行业深度报告 63

64 机遇 5: 在建晶圆厂设备需求有望超过 2,000 亿元, 未来 2-3 年有望迎来设备 抢装潮 据我们统计,26 座晶圆厂 ( 规划 ) 中已有 7 座已经陆续动工开始建设, 总投资超过 3000 亿元, 其中设备投资有望超过 2000 亿元, 且有望在 年迎来设备的抢装期, 对应为本土设备公司切入提供最佳契机 一条晶圆厂产线的建设周期一般在 个月不等, 前 8-12 个月为土建时期, 此时高纯工艺系统设备 洁净间设备等随土建同时建设, 为先行设备需求 ; 厂房封顶后设备搬入及调试需 8-12 个月, 调试完成后, 需经历 3-6 个月的爬坡时期, 才能实现产能达产 图表 96. 晶圆厂建设周期时间轴 资料来源 : 中银证券 中国集成电路装备企业的部分产品已具备在成熟制程产线量产的能力, 且目 前国际装备厂商普遍因为近期需求暴增而提高装备价格, 延长交货周期, 从 而给了二手装备及国产装备更多机会 图表 97. 中国大陆目前正在建设的晶圆厂 晶圆片投产年份 达产目标 ( 万片 / 月 ) 投资额 建设年份 制程 产品类型 武汉新芯 12 寸 亿美金 动工 20 万片 3D NAND Flash 和 10 万片 DRAM 台积电 12 寸 万片 / 月 30 亿美元 动工 16nm 12 英寸晶圆厂和 IC 设计中心 晋华 12 寸 万片 / 月 370 亿元 2017 DRAM 存储器 中芯国际上海 12 寸 万片 / 月 100 亿美元 nm 12 英寸生产线 中芯国际深圳 12 寸 万片 / 月 2016 年 10 月 nm 12 英寸生产线 中芯国际天津 8 寸 万 / 月 100 亿元 2016 年 10 月 8 英寸生产线扩产 ; 成为全球单体最大的 8 英寸生产线 上海华力 12 寸 万片 / 月 387 亿元 2016 年 11 月 二期 12 英寸高工艺等级生产线项目 ; 设计工艺为 和 14 纳米 资料来源 : 中国半导体协会 中银证券 机遇 6: 先进封装设备需求增多, 中国装备企业有更多机会存储器 物联网 5G 汽车电子以及工业控制等应用领域对先进封装技术需求激增, 中微 北方 上微等企业均有后道装备产品, 在市场上已展现出较强的竞争力 2017 年 12 月 22 日半导体设备行业深度报告 64

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

D4

D4 4 020 Application Trend and Fabrication Introduction of 3D Integrated Circuits Through Silicon Vias Technology Abstract The three-dimensional integrated circuits through silicon vias (3D IC TSV) technology

More information

股份有限公司

股份有限公司 公 司 代 码 :600584 公 司 简 称 : 长 电 科 技 江 苏 长 电 科 技 股 份 有 限 公 司 2014 年 年 度 报 告 重 要 提 示 一 本 公 司 董 事 会 监 事 会 及 董 事 监 事 高 级 管 理 人 员 保 证 年 度 报 告 内 容 的 真 实 准 确 完 整, 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 承 担 个 别 和

More information

Microsoft Word - 20160623_玉山投顧_台股晨訊

Microsoft Word - 20160623_玉山投顧_台股晨訊 玉 山 晨 訊 台 股 晨 訊 台 股 交 易 行 情 單 位 : 億 元 口 指 數 別 收 盤 漲 跌 成 交 量 加 權 指 數 8716.25 31.40 729.55 OTC 129.07 0.13 196.74 7 月 台 指 期 8509.00-1.00 113,230 電 子 指 數 351.69 1.22 424.02 7 月 電 子 期 342.85-0.25 2,537 金 融

More information

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉 江 苏 长 电 科 技 股 份 有 限 公 司 2013 年 度 非 公 开 发 行 A 股 股 票 募 集 资 金 使 用 的 可 行 性 分 析 报 告 为 了 进 一 步 提 升 江 苏 长 电 科 技 股 份 有 限 公 司 ( 以 下 简 称 公 司 ) 主 营 业 务 盈 利 能 力, 优 化 公 司 产 品 结 构, 改 善 公 司 财 务 状 况, 公 司 拟 向 不 超 过 10

More information

untitled

untitled Tianshui Huatian Technology Co., Ltd. 14 1012 16 1 2 500 2006 7 21 1 2 500 2006 7 21 1 2 300 2006 7 21 3 12 1 2 1 16 1 2 500 2006 7 21 1 2 500 2006 7 21 1 2 300 2006 7 21 3 12 1 2 2 2006 12 31 103,333,261.89

More information

山 东 省 重 点 行 业 技 术 发 展 白 皮 书 ( 二 ) 山 东 省 经 济 和 信 息 化 委 员 会 2016 年 7 月 前 言 推 进 供 给 侧 结 构 性 改 革, 必 须 牢 固 树 立 创 新 发 展 理 念 面 对 经 济 发 展 新 常 态 和 新 一 轮 全 球 产 业 变 革, 全 省 工 业 战 线 主 动 响 应 国 家 战 略, 积 极 调 整 发 展 思

More information

Microsoft Word - 20160721_玉山投顧_台股晨訊

Microsoft Word - 20160721_玉山投顧_台股晨訊 玉 山 晨 訊 台 股 晨 訊 台 股 交 易 行 情 單 位 : 億 元 口 指 數 別 收 盤 漲 跌 成 交 量 加 權 指 數 9007.68-27.19 948.70 OTC 130.47-0.52 246.74 7 月 台 指 期 9003.00-29.00 94,642 電 子 指 數 365.82-1.27 547.06 7 月 電 子 期 365.65-0.65 1,898 金 融

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

行動電話面板產業

行動電話面板產業 TFT-LCD IC LCD TV Monitor TFT LCD IC 2005 Samsung IC - IC LCD TV LCD monitor LCD TV 2004~2007 69% LCD Monitor 2004~2007 18% IC 2004 ~2009 16.26% 2004 ~2009 10.39% ASP (Fine Pitch) IC Pin IC IC IC TCP COF

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Q / ZX

Q / ZX 印制电路板设计规范 SMD 元器件封装库尺寸要求 目 次 1 范围...1 2 引用标准...1 3 术语...1 4 使用说明...2 5 焊盘图形...2 5.1 SMD: 表面贴装方焊盘图形尺寸...2 5.2 SMDC: 表面贴装圆焊盘图形尺寸...3 5.3 SMDF 表面贴装手指焊盘图形尺寸...4 5.4 THC 通孔圆焊盘图形尺寸...5 5.5 THS 通孔方焊盘图形尺寸...6

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!"#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+, " $ 2 * ' /+ / / / (+ 5 (/(

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+,  $ 2 * ' /+ / / / (+ 5 (/( 第 # 卷第 # 期 # 年 月 =2>3(8 &27& "432"? @543A B 1%&# &&&( %&# 8-*%&&# & - % # CD%1/)* / % # # & # 9&,%&# " &%&./01 &-. # 9& ; ##&&&&&&&&&&&&&&&&&&&&&&& ( -& ; # #; ; & &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& 9CC1/)*

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

Microsoft Word 電子構裝結構分析1221.doc

Microsoft Word 電子構裝結構分析1221.doc 電 子 構 裝 結 構 分 析 徐 祥 禎 ( 義 守 大 學 機 械 與 自 動 化 工 程 學 系 副 教 授 ) 前 言 電 子 構 裝 (Electronic Packaging), 主 要 是 利 用 固 定 接 著 技 術, 將 積 體 電 路 (Integrated Circuit, IC) 晶 片 固 定 在 承 載 襯 墊 (Die Pad) 上, 並 利 用 細 微 連 接 技

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

潛力無窮的類比IC設計產業

潛力無窮的類比IC設計產業 IC IC IC IC IC 06 IC IC IC IC IC IC 敍 IC IC IC 0 1 IC IC IC 2006/09 9 (95) 055 86 5 (02)2361-8606 IC 3~5 IC IC 10~15 IC 3~5 IC IC IC IC IC IC IC IC IC IC IC 01 10~15 ASP 3~5 ASP IC IC PC IC WSTS (World

More information

PowerPoint Presentation

PowerPoint Presentation The Rise of China IC Industry - As A Global Ecosystem Partner Lung Chu 居龙 President,SEMI China July 13,2017 Outline China s IC Development Why? Government Initiatives vs Market Forces Investments Opportunities

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

行動電話面板產業

行動電話面板產業 NAND Flash NAND Flash Digital Camcorder MP3 Flash Based USB NAND Flash NAND Flash Q406 MP3 3% Q107 Toshiba/SanDisk IM Flash(IMFT) 10%07 Q2 2GB NAND FLASH NAND FLASH 2~3% 1~5 (2451)(3260) (8088) (8277)

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

感 測 器 市 場 與 產 品 應 用 主 要 廠 商 及 創 新 案 例 台 灣 半 導 體 廠 商 發 展 動 態 結 論 與 建 議 簡 報 大 綱 1

感 測 器 市 場 與 產 品 應 用 主 要 廠 商 及 創 新 案 例 台 灣 半 導 體 廠 商 發 展 動 態 結 論 與 建 議 簡 報 大 綱 1 全 球 感 測 器 市 場 分 析 與 台 灣 產 業 發 展 動 態 cindygu@micmail.iii.org.tw mic.iii.org.tw 顧 馨 文 資 深 產 業 分 析 師 產 業 情 報 研 究 所 (MIC) 財 團 法 人 資 訊 工 業 策 進 會 2012.8.23 感 測 器 市 場 與 產 品 應 用 主 要 廠 商 及 創 新 案 例 台 灣 半 導 體 廠 商

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

股票简称:士兰微 股票代码:600460

股票简称:士兰微                                     股票代码:600460 股 票 简 称 : 士 兰 微 股 票 代 码 :600460 杭 州 士 兰 微 电 子 股 份 Hangzhou Silan Microelectronics Co.,Ltd. ( 住 所 : 浙 江 省 杭 州 市 黄 姑 山 路 4 号 ) 开 发 行 债 券 保 荐 机 构 ( 主 承 销 商 ) ( 住 所 : 上 海 市 中 山 南 路 318 号 东 方 国 际 金 融 广 场 2

More information

P.1

P.1 P.1 P.2 1. 2. IC 3. 4. IC 5. P.3 (Interconnection).. P.4 (Wafer) (Chip) (MCM) P.5 電子構裝之主要功能 電源供應層 1.有效供應電源 信號分佈層 2.提供信號傳輸 協助散熱 保護元件 3.協助排除耗熱 4.保護電子組件 5.建構人機介面 Images 3D Graphics 建構人機介面 P.6 DIP Dual In-Line

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

Microsoft Word - 95年報.doc

Microsoft Word - 95年報.doc 股 票 代 號 :5351 95 年 度 年 報 中 華 民 國 九 十 六 年 五 月 十 五 日 刊 印 本 年 報 查 詢 網 址 :http://newmops.tse.com.tw http://www.etron.com.tw 一 公 司 發 言 人 代 理 發 言 人 姓 名 職 稱 電 話 及 電 子 郵 件 信 箱 發 言 人 代 理 發 言 人 姓 名 : 徐 初 發 郎 文 郁

More information

行业周报

行业周报 2016 年 08 月 21 日 行 业 研 究 评 级 : 推 荐 ( 上 调 ) 研 究 所 证 券 分 析 师 : 王 凌 涛 S0350514080002 021-68591558 wanglt01@ghzq.com.cn 联 系 人 : 李 虒 S0350115070033 18901056681 lis03@ghzq.com.cn 联 系 人 : 凌 琳 S0350116080013 18201805368

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

IC封装形式图片介绍

IC封装形式图片介绍 www.ecbbs.com IC IC BGA Ball Grid Array EBGA 680L TQFP 100L SC-70 5L SIP Single Package Inline SOP Small Outline Package SOJ 32L J SOJ www.ecbbs.com SOP EIAJ TYPE II 14L SOT220 SSOP 16L SSOP TO-18 TO-220

More information

Microsoft Word - 20141110_玉山投顧_台股產業週報

Microsoft Word - 20141110_玉山投顧_台股產業週報 台 股 產 業 週 報 產 業 總 結...01 產 業 概 況 與 個 股 分 析 ν IC 設 計 晶 圓 代 工 及 通 路 IC 封 測....05 ν 手 機 相 關 網 路 通 訊 生 技...09 ν TFT 面 板 面 板 零 組 件 PCB 記 憶 體...15 ν NB 相 關 工 業 電 腦 電 源 供 應 器 安 控...19 ν 太 陽 能 LED 塑 膠 原 料 紡 織

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

1 本 次 交 易 完 成 后, 你 公 司 将 分 别 持 有 力 成 科 技 股 份 有 限 公 司 ( 以 下 简 称 力 成 科 技 ) 和 南 茂 科 技 股 份 有 限 公 司 ( 以 下 简 称 南 茂 科 技 )25% 的 股 份, 而 力 成 科 技 和 南 茂 科 技 在 本 次

1 本 次 交 易 完 成 后, 你 公 司 将 分 别 持 有 力 成 科 技 股 份 有 限 公 司 ( 以 下 简 称 力 成 科 技 ) 和 南 茂 科 技 股 份 有 限 公 司 ( 以 下 简 称 南 茂 科 技 )25% 的 股 份, 而 力 成 科 技 和 南 茂 科 技 在 本 次 同 方 国 芯 电 子 股 份 有 限 公 司 关 于 深 圳 证 券 交 易 所 关 于 对 同 方 国 芯 电 子 股 份 有 限 公 司 的 重 组 问 询 函 的 回 复 深 圳 证 券 交 易 所 中 小 板 公 司 管 理 部 : 根 据 贵 部 于 2016 年 3 月 3 日 下 发 的 关 于 对 同 方 国 芯 电 子 股 份 有 限 公 司 的 重 组 问 询 函 ( 中 小

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

Microsoft Word - 600460 士兰微 20120307.doc

Microsoft Word - 600460 士兰微 20120307.doc 阿 2012 年 03 月 07 日 陳 奇 C0050@capital.com.tw 目 標 價 ( 元 ) 13.0 公 司 基 本 信 息 產 業 別 電 子 A 股 價 (12/03/07) 12.33 上 證 綜 合 指 數 (12/03/07) 2394.79 股 價 12 個 月 高 / 低 22.77/8.03 總 發 行 股 數 ( 百 萬 ) 434.08 A 股 數 ( 百 萬

More information

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信 数 字 电 视 普 及 分 阶 段 实 施 2013 年 1 月 22 日 董 长 肜 郭 海 燕 ( 责 ) 李 纬 东 青 伶 俐 专 题 摘 要 近 期 专 题 研 究 目 录 摘 要 专 题 : 国 务 院 出 新 政 力 促 通 用 航 空 1 月 22 日 相 关 政 策 专 题 : 页 岩 气 第 二 批 中 标 结 果 公 布 1 月 22 日 行 业 发 展 专 题 : 前 海 开

More information

<4D6963726F736F667420576F7264202D2032303133C4EAC9EEDBDACAD0BCC6CBE3BBFAB2FAD2B5B7A2D5B9B7D6CEF6D1D0BEBF303531342E646F63>

<4D6963726F736F667420576F7264202D2032303133C4EAC9EEDBDACAD0BCC6CBE3BBFAB2FAD2B5B7A2D5B9B7D6CEF6D1D0BEBF303531342E646F63> 深 圳 市 2013 年 软 科 学 研 究 项 目 2013 年 度 深 圳 市 计 算 机 产 业 发 展 分 析 研 究 深 圳 市 计 算 机 行 业 协 会 2014 年 4 月 30 日 1 目 录 一 计 算 机 行 业 的 基 本 情 况... 1 ( 一 ) 计 算 机 行 业 年 度 概 况... 1 ( 二 ) 计 算 机 行 业 的 重 要 性... 2 ( 三 ) 计 算

More information

<443A5CB9A4D7F7CCA85CD6D0D0C5BDA8CDB62D2DB5E7D7D3D0D0D2B5A3BAC6DAB4FDBDDABAF3BEB0C6F8BBD8C9FD3133303231382E646F63>

<443A5CB9A4D7F7CCA85CD6D0D0C5BDA8CDB62D2DB5E7D7D3D0D0D2B5A3BAC6DAB4FDBDDABAF3BEB0C6F8BBD8C9FD3133303231382E646F63> CHINA SECURITIES RESEARCH 证 券 研 究 报 告 行 业 动 态 期 待 节 后 景 气 回 升 维 持 中 性 陈 开 伟 chenkaiwei@csc.com.cn 021-68821626 执 业 证 书 编 号 :S1440512070018 发 布 日 期 : 2013 年 2 月 18 日 市 场 表 现 15% 10% 5% 0% -5% -10% -15%

More information

( ) A 1, [][] 6,500 [2009]

( ) A 1, [][] 6,500 [2009] 1 66 4 1-1-1 ( ) A 1,656 1 2009 [][] 6,500 [2009]94 2009 11 16 1-1-2 1-1-3 1 [2009]94 [2009]223 A 1,656 10% 165.60 2. 4,844 1,656 A 6,500 [2009]94 3 2008 2008 2009 2009 6 30 18,885.28 4 (1) 1-1-4 8 12

More information

untitled

untitled 年 錄...1... 1 2008 年... 1 IC... 2...4... 4 利... 5... 8...10... 11 IC... 11 NAND Flash DRAM... 11 IC SoCSiP MEMS... 12 略 例...13 Elpida Memory, Inc... 13 Synopsys Taiwan Limited... 13...15 六...18 年來 IC 精

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15 2008IT /15 Agenda 2008 & 2008 2008 & US$B PC/系統潮 網路潮/節能潮 3C潮 企業市場消費者 成熟市場消費者 成熟+新興市場消費者 代 時 代 C 時 P C n P NNoon 1,000 PPCC時 時代 代 G-phone 後PC時代 後PC時代 HDTV IPTV Web NB $500B 100 $100B 10 2003 Source 拓墣產業研究所

More information

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 ( 2018-02-26 TMT 芯片国产化大涨 : 政府大基金投入终 结果, 国产替代趋势不可逆 核心提示 芯片国产化指数大涨 : A 股芯片国产化概念板块 (884160.WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 (300474.SZ) 国科微(300672.SZ) 上海新阳 (300236.SZ) 北方华创(002371.SZ) 江丰电子 (300666.SZ)

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

LPKFPCB LPKF ProConductProMask LPKF 1,800W mm (inch) 580/290/350 (22.8"/11.4"/13.8") mm (inch) 440/210/290 (17.3"/8.3"/11.4") PCB ProtoMask 35

LPKFPCB LPKF ProConductProMask LPKF 1,800W mm (inch) 580/290/350 (22.8/11.4/13.8) mm (inch) 440/210/290 (17.3/8.3/11.4) PCB ProtoMask 35 LPKF LPKF LPKF LPKF LPKF LPKF LPKF LPKF 的吸尘器噪音 适用于 ProtoMat S 系列和激光设备 ProtoLaser S U ProtoMat S ProtoLaser S U 22,500 Pa 241 m 3 /hour (142 cfm) 800W (230V/50Hz) 250mm/300mm/350mm (10"/12"/14") 噪音 50 db(a)

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5B7E2B2E2D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5B7E2B2E2D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体封测行业研究报告 2011-2012 年全球及中国半导体封测行业研究报告 包括以下内容 : 1 全球半导体产业概况 2 模拟半导体 MCU DRAM NAND 复合半导体产业现状 3 IC 制造产业现状 4 封测产业市场与产业 5 24 家封测厂家研究 独立的封测厂家通常称之为 OSAT 或 ASAT 1997 年时 OSAT 产业规模只有大约 51 亿 美元,

More information

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9>

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9> P/N: 品名 DY-U-001:UL80 105 PVC 电线绝缘料 ( 通用型 ) 适用于 标准额定耐温等级 80 105 的 PVC 电线绝缘材 料 ( 绝缘厚度大于 0.76MM, 导体截面积小于 20AWG 规格的电子线请选 择 DY-U-008 专用型 ) 产品符合欧盟 ROHS 2.0 REACH 等环保要求 Volume resistivoty 体积电阻率 Ω.m 1.0 10 11

More information

Microsoft PowerPoint - 髫ェ蝓滂スク・ャWG陷茨スィ驕カ・ー(隴崢€驍ィ繧会スィ・ソ)

Microsoft PowerPoint - 髫ェ蝓滂スク・ャWG陷茨スィ驕カ・ー(隴崢€驍ィ繧会スィ・ソ) WG 1 WG 2 WG 3 WG 4 WG 5 WG 6 ITRSMetrology TMU WG 7 ITRSMetrology TMU WG 8 Gate Dense Lines 2009MetrologyRoadmap 2010 2012 2014 2016 2018 Flash 1/2 pitch (nm) 32 25 20 16 13 DRAM ½ Pitch (nm) 45 36 28

More information

A 2,720 25% 1.00 [ ] [ ] [ ] [ ] 10,880 25% [2009] [ ] [ ] 1 1 1

A 2,720 25% 1.00 [ ] [ ] [ ] [ ] 10,880 25% [2009] [ ] [ ] 1 1 1 Nationz Technologies Inc. 3 301 302 4018 35 28 A02 A 2,720 25% 1.00 [ ] [ ] [ ] [ ] 10,880 25% [2009]1174 272 [ ] [ ] 1 1 1 1 1 2 8,160 2,720 10,880 25% [2009]1174 272 2009 2009 12 31 103,568,759.08 26

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

untitled

untitled : 8 MEMS : () 2015 12 09 : 8 MEMS : : : () A10500191000 A10500191000 A10500140500 A10500050500 8 MEMS F2M3 13601297766 100176 F2M3 1 1.1 86600 20 5000 800 [2015]157 39 2017 09 0 0.9 5 Silex Microsystems

More information

Microsoft Word - 100年年報 _1-4_.doc

Microsoft Word - 100年年報 _1-4_.doc 股 票 代 號 :2342 一 百 年 度 年 報 中 華 民 國 一 一 年 四 月 三 十 日 刊 印 年 報 查 詢 網 址 :http://newmops.tse.com.tw http://www.mosel.com.tw 一 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 本 公 司 發 言 人 姓 名 : 周 崇 勳 職 稱 : 副 總 經 理 電 話 :(03)

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

以 下 趋 势 是 挡 不 住 的 三 大 金 矿 是 未 来 最 好 的 三 个 产 业 从 C 到 B: 智 能 视 频 大 数 据 传 感 器 都 是 三 个 改 变 一 切 行 业 的 工 具 汽 车 子 新 蓝 海 : 从 新 能 源 HUD 无 人 驾 驶 到 车 联 网 苹 果 的 进

以 下 趋 势 是 挡 不 住 的 三 大 金 矿 是 未 来 最 好 的 三 个 产 业 从 C 到 B: 智 能 视 频 大 数 据 传 感 器 都 是 三 个 改 变 一 切 行 业 的 工 具 汽 车 子 新 蓝 海 : 从 新 能 源 HUD 无 人 驾 驶 到 车 联 网 苹 果 的 进 看 得 见 的 未 来 挡 不 住 的 的 趋 势 安 信 证 券 研 究 中 心 子 研 究 团 队 2016 年 1 月 以 下 趋 势 是 挡 不 住 的 三 大 金 矿 是 未 来 最 好 的 三 个 产 业 从 C 到 B: 智 能 视 频 大 数 据 传 感 器 都 是 三 个 改 变 一 切 行 业 的 工 具 汽 车 子 新 蓝 海 : 从 新 能 源 HUD 无 人 驾 驶 到 车

More information

Management2.0: Competitive Advantage through Business Model Design and Innovation

Management2.0: Competitive Advantage through Business Model Design and Innovation 2014-2015 年中国半导体产业研究报告 2014-2015 年中国半导体产业研究报告 包含以下内容 : 1 全球半导体市场与产业分析 2 中国半导体市场与产业分析 3 11 家中国 IC 设计企业研究 4 5 家中国晶圆代工企业研究 5 4 家中国封测企业研究 根据中国半导体协会的数据,2014 年整个半导体产业链的总值超过 3000 亿人民币, 但与产品相关的产值只有 1047 亿人民币 (

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

Microsoft Word - 20151116_玉山投顧_台股產業週報

Microsoft Word - 20151116_玉山投顧_台股產業週報 台 股 產 業 週 報 產 業 總 結...01 產 業 概 況 與 個 股 分 析 IC 設 計 晶 圓 代 工 及 通 路 IC 封 測....05 手 機 相 關 網 路 通 訊 生 技...09 TFT 面 板 面 板 零 組 件 PCB 記 憶 體...15 NB 相 關 工 業 電 腦 電 源 供 應 器 安 控...19 太 陽 能 LED 塑 膠 原 料 紡 織 橡 膠 輪 胎...24

More information

nm 8 nm nm nm nm 8 nm 8 IC IC

nm 8 nm nm nm nm 8 nm 8 IC IC 100 100nm 8 nm 8 130 130-100 100nm nm 6 147 147 135 135 6 103 103 54 54 9 45 45 7 100 100nm 8 nm 8 IC IC 5 60 60 40 40 3500 3500 5 1600 1600mm mm X/Y/Z X/Y/Z 2200/400/400 2200/400/400mm mm 15 15m/min m/min

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

CIP 1 μm μm [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息

CIP 1 μm μm [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息 碳-石墨产品 特种石墨 1 单晶硅制造设备 2 临界等离子测试设备 JT-6 * 照片由日本原子能研究开发机构提供 (1) (2) CIP 1 μm 2 25 1 μm 5 1 15 2 [Mg/m 3 ] 5 未经东洋炭素的事先许可, 不得使用或转载本目录信息 / 未经东洋炭素的事先许可, 不得使用或转载本目录信息 6 LEDEDM * * CVD 7 未经东洋炭素的事先许可, 不得使用或转载本目录信息

More information

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需 第三章 中国集成电路产业发展现状 第一节整体状况 2006 年, 中国集成电路产业持续高速发展, 规模首次突破千亿元大关, 达到 1006.3 亿元, 同比增长达到 43.3% ; 从增长速度上看,2006 年集成电路产业市场规模与总产量的同比增幅与 2005 年相比, 均有较大幅度的提高 2006 年, 中国半导体产业 IC 设计 制造和封测三业同步快速发展, 其中 IC 设计业发展更为 迅速,

More information

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半 218 年 4 月 1 日中小盘研究 半导体研究系列之二 ( 设备 ): 星星之火, 燎原之势渐起 中小盘伐谋主题伐谋 - 中小盘主题报告 孙金钜 ( 分析师 ) 吴吉森 ( 联系人 ) 21-68866881 sunjinju@xsdzq.cn 证书编号 :S2851812 21-68865595 wujisen@xsdzq.cn 国内半导体迎来新投资周期, 半导体设备市场持续向好 : 当前我国集成电路产品对外依存度较高,

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

4 26 Silver Interconnect Technology Intel 22 Fin FET Abstract In view of commercial electronic product requirements, the integration circuit (IC

4 26 Silver Interconnect Technology Intel 22 Fin FET Abstract In view of commercial electronic product requirements, the integration circuit (IC 4 26 Silver Interconnect Technology Intel 22 Fin FET 10 10 Abstract In view of commercial electronic product requirements, the integration circuit (IC) manufacturing technology needs to keep moving to

More information

目 录 本 报 告 的 写 作 思 路... 5 一 行 情 回 顾 : 传 统 经 营 淡 季, 电 子 行 业 上 半 年 波 澜 不 惊... 5 二 行 业 前 景 初 判 断 : 先 行 指 标 温 和 回 暖... 6 1 总 体 指 标 与 先 行 指 标 电 子 行 业 高 景 气

目 录 本 报 告 的 写 作 思 路... 5 一 行 情 回 顾 : 传 统 经 营 淡 季, 电 子 行 业 上 半 年 波 澜 不 惊... 5 二 行 业 前 景 初 判 断 : 先 行 指 标 温 和 回 暖... 6 1 总 体 指 标 与 先 行 指 标 电 子 行 业 高 景 气 行 业 研 究 电 子 元 器 件 :2015 年 度 投 资 策 略 报 告 2014 年 12 月 22 日 紧 随 智 能 化 浪 潮, 寻 找 成 长 性 标 的 看 好 ( 维 持 ) 2015 年 行 业 前 景 判 断 A 股 电 子 行 业 单 季 度 营 业 利 润 增 速 下 滑, 北 美 半 导 体 BB 值 最 近 两 月 均 低 于 1, 高 景 气 周 期 暂 告 一 段

More information

<4D6963726F736F667420576F7264202D20312D3120D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D20312D3120D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63> 声 明 : 本 次 股 票 发 行 后 拟 在 创 业 板 市 场 上 市, 该 市 场 具 有 较 高 的 投 资 风 险 创 业 板 公 司 具 有 业 绩 不 稳 定 经 营 风 险 高 退 市 风 险 大 等 特 点, 投 资 者 面 临 较 大 的 市 场 风 险 投 资 者 应 充 分 了 解 创 业 板 市 场 的 投 资 风 险 及 本 公 司 所 披 露 的 风 险 因 素, 审

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074>

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074> 全球增速减缓, 中国风景独好 2008 年下半年半导体行业投资策略 天相资讯科技研究组赵磊 2008 年 6 月 21 半导体器件是元器件的重要组成部分 电子元器件行业的分类 半导体器件行业 : 1. 分为分立器件和集成电路 ; 2. 决定了电子产品的质量与性能 ; 3. 电子信息产业的重要组成部分 ; 4. 高科技 资本密集型行业 ; 5. 是信息产业的支柱 22 半导体产业产业链示意 我们通常提及的半导体产业除了半导体器件

More information

(Microsoft Word - 92\246~\263\370)

(Microsoft Word - 92\246~\263\370) 壹 致 股 東 報 告 書 九 十 一 年 為 創 見 收 穫 頗 豐 之 年 度, 雖 產 業 環 境 仍 處 於 不 佳 狀 態, 但 在 創 見 公 司 全 體 同 仁 辛 勤 耕 耘 之 下, 我 們 仍 能 順 利 達 成 財 務 目 標 : 營 收 為 64.55 億 元, 較 九 十 年 度 47.16 億 元 大 幅 成 長 37% 稅 後 淨 利 10.82 億 元, 每 股 稅

More information

洛科威工业保温岩棉

洛科威工业保温岩棉 洛科威 工业保温岩棉 35 28 97% 11,000 + 238m * 100:1 16.16b * 23% 2 * 3 不燃性 憎水性 洛科威 岩棉的不燃和防火绝缘特性提供更全面的人员 洛科威 岩棉憎水处理技术使保温系统在潮湿环境下长 财 产 和 环 境 保 护 岩 棉 承 受 温 度 高 达 1000 C 保 护 设 期使用不会造成霉变 保证了系统的安全性和耐久性 备在较高使用温度下正常运行免受不必要的损害

More information

CONTENTS 目 录 芯片储备信息 1 SiGeHBT 的应用和发展 19 美国关于裸芯片的计划和世界市场 21 条带引线键合的测试 25 行业动态 27 蓝天碧野白桦醉 跃马纵歌塞罕坝 28 中国芯片银行通过 ISO9000 认证 扉页 北京华芯微 MOSFET 新品通过鉴定 封三 芯片技术通讯 编辑部 中国芯片银行主办发行 编辑 孟瑾 联系电话 010-88863535-8609 E-mail:

More information

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系 东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系列东亚 汇添盈 结构性存款产品 TM1099( 人民币 ) 公募 1 级 境内挂钩投资产品系列 东亚

More information

聚洵半导体产品介绍 [兼容模式]

聚洵半导体产品介绍 [兼容模式] 高性能模拟及混合信号芯片 Gainsil. The Signal 目录 01 公司简介 02 产品 Roadmap 03 产品介绍 公司简介 聚洵聚洵半导体科技 ( 上海 ) 有限公司 ( 简称聚洵 Gainsil) 座落在中国 硅谷 之称的张江高科技园区 是一家专注于高性能 高品质模拟和混合信号集成电路研发和销售管理的高科技公司 公司产品广泛应用于 : 通讯网络, 消费电子, 多媒体, 工业自动控制,

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

01

01 Zebra 技术白皮书 零售业中的可跟踪性 降低 介质成本, 实现最佳价值 概要 简介 我想要的商品在哪里呢? 物品级标签带来了巨大优势 全面的库存管理 100% 2 Zebra (EAS)/ 实际结果 2009 1 27% 21% 53 2 209 提升顾客体验, 提高销售业绩 3 150 100 RF 1. : Bloomingdale 2009 2. 3. ABI Research 2009

More information

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2 CHI EF 镜头使用说明书 EF24-70mm f/4l IS USM 感谢您购买佳能产品! 使用注意事项 如果将镜头从寒冷的环境拿到温暖的环境中, 镜头表面和内部零件可能会发生结露 高温可能导致镜头故障 特点 安全注意事项 安全注意事项 请勿透过镜头或相机观看太阳或明亮的光源 无论镜头是否装在相机上, 请勿将没有盖上镜头盖的镜头置于太阳下 本说明中使用的符号 CHI-1 安全注意事项 2. 设置对焦模式

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information