行业研究报告_无重点公司

Size: px
Start display at page:

Download "行业研究报告_无重点公司"

Transcription

1 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S 研究员 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 欣旺达 (300207): 业绩符合预期, 大储能战略逐步展开 电子元器件 : 北京车展将迎新概念车大爆发 行业走势图 40% 20% 0% -20% -40% 电子元器件集成电路 Ⅱ 沪深 300 资料来源 :wind, 华泰证券研究所 扶摇而上, 本土 IC 设备破局在即 全球半导体设备市场巨大, 呈现寡头垄断特征 2015 年全球半导体设备市场为 365 亿美元, 相较于 2014 年下降 2.56% 2015 年前五大半导体设备厂商的市占率总计为 66%, 市场份额集中度非常高 从企业总部的地域分布来看, 美国 日本 荷兰是全球半导体设备制造的三大强国 美国应用材料 2015 年销售额排名第一, 在薄膜沉积设备 (CVD PVD) 刻蚀设备 离子注入机 高温炉四个领域占据 40%-70% 的份额, 阿斯麦在光刻机市场垄断 70% 的市场份额, 东电电子 科磊在上胶机 过程控制设备等领域占据 90% 50% 的市场份额, 拥有极大的话语权 我国集成电路设备国产化比例低, 潜力大自从 2013 年起, 中国半导体设备需求市场持续增长, 从 32.7 亿美金增长到 49 亿美金, 年均增速为 22.4%, 增速明显高于全球整体设备市场的增速 7.5% 2015 年中国国有半导体设备厂商销售额约为 7.35 亿美金, 折合人民币 47.8 亿, 半导体设备的国产化比例为 15% 半导体设备产业包括集成电路设备 LED 设备等, 因国内 LED 设备这几年国产化率提高, 预计实际集成电路国有化比率低于 15% 政策推动设备行业快速发展 2014 年国内集成电路设备厂商收入 亿, 同比增速 54.4% 政策是这两年设备需求快速增长的重要原因 半导体产业事关国家信息安全, 政府推动了 02 专项 政策与大基金的建立, 使得等离子刻蚀机 离子注入机 氧化炉 清洗设备方面取得了较大的突破, 产业链基本形成 七星电子 北方微 中微半导体领衔国内设备的开发, 已取得阶段性进展, 部分设备已经进入产业化阶段 未来中国的设备行业将在政策的引导下持续增长, 行业迎来投资的最佳机会 设备国产化的关键在于培养高端人才和扶持国内零部件厂商一直以来, 高精尖的技术和昂贵的诉讼成本是进入集成电路设备行业的壁垒, 制约着中国集成电路设备行业的发展 国家已经在资金和政策上对国内集成电路设备商给予了重视和支持 然而, 对进口零部件的依赖和对高端人才的渴求是集成电路设备国产化之路上的两个障碍 随着整个产业链的不断完善, 国家对零部件厂商的扶持, 对高端设备人才的培养, 国内集成电路设备行业一定能随着半导体制造和半导体封装产业, 突破重围, 实现崛起 投资建议重点关注集成电路设备龙头七星电子, 同时建议关注已开展部分设备研发的上海新阳 ; 由于国有设备将增加供给降低成本, 建议关注行业结构性变化利好的下游相关标的如 : 长电科技 ( 先进封装业务 ) 等 风险提示 : 集成电路设备研发技术难度大, 增速可能不达预期 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 1 1

2 正文目录 人类文明的象征 : 叹为观止的半导体精密仪器...6 半导体生产流程简要介绍...7 材料准备 晶体生长和晶圆准备...8 晶圆制造和测试分选...8 薄膜工艺...8 图形化工艺...9 掺杂 热处理 封装与测试 晶圆制造设备概览 薄膜沉积设备 上胶机 光刻设备 刻蚀 清洗 平整化设备 离子注入机 热处理设备 - 高温炉 过程控制设备 晶圆级封装设备 全球半导体设备产业发展现状 半导体设备行业受半导体行业景气度影响显著 目前市场规模 预计未来市场情况 过去十年市场情况 中国半导体设备需求渐旺 晶圆制造类设备需求越来越高 产业寡头垄断特征明显 下一代设备的方向 我国的集成电路设备产业现状 我国集成电路设备市场处于起步阶段 资金支持 + 政策推动, 集成电路设备国产化指日可待 国产设备已实现较大的突破 薄膜沉积设备 光刻机及配套设备 干法刻蚀设备 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 2 2

3 湿法刻蚀机与清洗机 离子注入设备 氧化炉 自动化设备及配套 集成电路工艺检测设备 国内各厂商简介 七星电子 北方微电子 中微半导体 上海微电子装备 中电科电子装备 上海新阳 沈阳拓荆 上海微松 盛美半导体设备 深圳格兰达 睿励科学仪器 北京中科信电子装备 沈阳芯源 中国科学院微电子研究所 中国科学院光电技术研究所 国内设备产业面临的挑战 技术和诉讼成本的壁垒 零组件进口依赖 高端人才的缺乏 投资建议 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 3 3

4 图表目录 图 1: 极微小模型 光刻机制作...6 图 2: 2014 年半导体行业不同产品比例...7 图 3: 2014 年半导体行业不同设计比例...7 图 4: 半导体工艺流程...7 图 5: 薄膜工艺是在晶圆表面形成薄膜的加工工艺...8 图 6: 图形化工艺是将薄膜的特定位臵去除的工艺...9 图 7: 三种不同的光刻技术 (1) 扫描 (2) 同比例重复光刻 (3) 缩小后重复光刻...9 图 8: 掺杂工艺是将通过薄膜开口将特定杂志引入晶圆表层的工艺过程 图 9: 热处理工艺是通过 图 10: 现代芯片的复杂结构 图 11: 基本 CVD 子系统 ( 左 ) Applied Materials 最新 ALD 设备 图 12: 上胶机旋转式涂底胶 图 13: 光刻机的系统部件 ( 左 ) ASML 光刻系统 EUV( 右 ) 图 14: 等离子刻蚀设备原理图,Applied Materials 等离子刻蚀设备 图 15: 离子注入机的工作原理,Applied Materials 离子注入机 图 16: 退火设备设计,Applied Materials 热处理设备 图 17: 光刻过程控制原理 图 18: 过去十年全球半导体设备市场规模 图 19: 半导体设备投资受半导体行业景气度影响, 波动剧烈 图 20: 分区域半导体设备金额 图 21: 过去三年, 中国半导体设备市场增速高于全球增速 图 22: 半导体设备中晶圆级设备占比最高 图 23: 2015 年全球薄膜沉积设备市场份额 图 24: 2015 年薄膜沉积设备分类 图 25: 2015 年全球光刻机市场份额 图 26: 2015 年光刻机分类 图 27: 全球上胶机市场份额 图 28: 2015 年刻蚀设备市场份额 图 29: 2015 年刻蚀设备分类 图 30: 全球离子注入机市场份额 图 31: 全球高温炉市场份额 图 32: 2015 年过程控制设备市场份额 图 33: 2015 年过程控制设备分类 图 34: 全球自动化设备市场份额 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 4 4

5 图 35: 下一代设备的方向 图 36: 我国集成电路设备市场处于起步阶段 图 37: 集成电路设备国产化指日可待 表格 1: 全球半导体产业链产值分布...6 表格 2: 薄膜工艺...9 表格 3: 刻蚀工艺的分类 表格 4: 常见薄膜沉积设备分类 表格 5: 刻蚀工艺的分类 表格 6: 全球半导体产业预测产值与增长速度 表格 7: 全球设备市场规模按流程分类 表格 8: 全球晶圆制造类设备市场规模按流程分类 表格 9: 2015 年全球半导体设备前十大厂商 表格 10: 国内厂商各工艺环节产品表 表格 11: 相关标的 表格 12: 国内厂商各工艺设备市场空间 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 5 5

6 人类文明的象征 : 叹为观止的半导体精密仪器 表格 1 反映了全球 2014 年半导产业链的各项产值, 涉及了材料 设备 设计 晶圆制造 封装测试等环节 半导体产业下游的电子产品产值约为 1.8 万亿美金, 全球半导体整体产业产值为 3,400 亿美金, 半导体材料产值 447 亿美金, 半导体设备产值 375 亿美金, 半导体设计产值为 860 亿美金, 晶圆制造产值为 469 亿美金, 封装测试产值为 534 亿美金 外包比例来看, 从事专业分工的厂商占比较高 设计环节中无晶圆设计厂商 (fabless) 占 69.7%,IDM( 垂直整合一体制造厂商 ) 和轻晶圆制造厂商 (fablite) 占剩下的 30.3%; 晶圆制造环节中, 纯晶圆厂商占 87%,IDM 占 13%; 封装测试环节中, 封装测试代工厂商占 51%,IDM 占 49% 表格 1: 全球半导体产业链产值分布单位 : 亿美金 2014 专业代工占比电子设备产品总产值 18, 半导体产业总产值 3, 材料 设备 设计 % 晶圆制造 % 封装测试 % 资料来源 :Gartner,Semi,IC Insight, 华泰证券研究所人类用材料加上设备生产出了半导体器件, 进而加上其他材料, 造出了电脑 手机 导航仪 电子手表等等, 为人类的生活带来了前所未有的便利 半导体产业, 是人类文明又一大进步的象征 半导体设备是半导体产业的大脑, 也是创造出给人们带来美丽生活的电子器件的 能工巧匠 半导体设备堪称人类历史上前所未有的精密设备, 图 1 显示的是 Nanoscirbe 公司制作的 3D 光刻机制作的直径不超过 1um 的 12 面体, 事实上, 市面上通用的手机通讯设备中的集成电路尺寸都远远小于 1um, 目前最先进的工艺可以达到电路线宽为 5-10nm, 也就是 1um 的 1/100-1/200 图 1: 极微小模型 光刻机制作 资料来源 :Nanoscribe 官网, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 6 6

7 目前, 半导体产业根据芯片类型分为四类 : 集成电路, 光电器件, 分立器件 传感器 根据 WSTS 数据,2014 年这四类占半导体产业产值分别为 82.4%,8.8%,6.1%,2.5% 集成电路按照芯片用途还可以分为四类 : 微处理器 存储器 逻辑芯片 模拟芯片, 根据 WSTS 数据,2014 年这四类分别占比为 22.6%,28.6%,32.6%,16.1% 图 2: 2014 年半导体行业不同产品比例 图 3: 2014 年半导体行业不同设计比例 资料来源 :WSTS, 华泰证券研究所 资料来源 :WSTS, 华泰证券研究所 半导体生产流程简要介绍 一个芯片从最普通的沙子开始要经历 3 个不同的阶段才能变成芯片, 进而被组装 (EMS,Electronic Manufacturing Service) 成电子设备, 而后流入市场 这三个阶段是 : (1) 材料准备 晶体生长和晶圆准备 (2) 晶圆制造和测试分选 (Fabrication, 简称 Fab) (3) 封装与测试 (Assembly and Test, 或称 Pacakge and Test) 图 4: 半导体工艺流程 资料来源 : 芯片制造, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 7 7

8 步骤二 晶圆制造 被称为前道工艺线 (Front end of line), 步骤三 封装测试 被称为后道工艺线 (Back end of line) 前道工艺线是指在晶圆表面上的形成器件; 后道工艺线是用金属线把器件连起来并加一层保护层 如今随着摩尔定律的衍化, 前道和后道开始有融合的趋势, 出现了中道工艺, 包含 Wafer Bumping WLP TSV 等主要晶圆级封装与测试, 晶圆制造厂和封测厂联系越来越紧密 材料准备 晶体生长和晶圆准备第一个阶段, 半导体材料的开采和提纯 材料以硅为主, 少量有锗和不同材料 硅是以沙子为原料, 沙子通过转化可成为纯净硅, 经过处理形成特殊的电子和结构参数的晶体 晶体结构的方向会影响电路特性, 往往会磨平一面或穿孔来定向 晶体被切割成为晶圆的薄片, 并进行表面处理 而后被送往晶圆制造 (Fab), 进入下一环节 晶圆制造和测试分选第二个阶段是晶圆制造, 是在晶圆表面形成器件 ( 二极管 三极管 电容等 ) 或集成电路 在每个晶圆上通常可以形成 个甚至数千个的器件 由分立器件和集成电路组成重复的区域, 被称为芯片 晶圆制造还包括晶圆级电测 (wafer-level test), 也叫作分选过程, 为了挑出在这一环节中没有达到标准的芯片 测完后对破损的芯片进行标记, 等待后续封装 电测可以减少后续工艺, 降低成本 晶圆制造的步骤随着集成电路芯片的种类而变, 但不同的集成电路都是由类似的结构和生产工艺制造出来的, 类似于不同的菜肴成品不同, 工艺都是煎炒蒸炖煮等等 晶圆制造企业用四种最基本的工艺方法, 通过大量的工艺顺序和工艺变化来制造特定的芯片 这些基本的工艺方法是 : 薄膜工艺 (layering deposition) 图形化工艺 (patterning) 掺杂 (implantation, doping, diffusion) 热处理 (Thermal processing) 薄膜工艺薄膜工艺是指在晶圆表面上形成薄膜的加工工艺, 薄膜可以是绝缘体 半导体或导体 一个电子器件可能由不同的材料组成, 就需要一层一层材料在晶圆表面生长或沉积 薄膜工艺分为两类, 生长法和沉积法 生长薄膜工艺主要有氧化工艺 沉积的薄膜工艺可以分为物理气相沉积 (Physical vapor deposition) 化学气相沉积(Chemical vapor Deposition), 前者可以再细分为蒸发 (Evaporation) 溅射(Sputtering) 和电镀工艺 (Electroplating) 图 5: 薄膜工艺是在晶圆表面形成薄膜的加工工艺 资料来源 : 芯片制造, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 8 8

9 表格 2: 薄膜工艺 生长法 氧化法 沉积法化学气相沉积法物理气相沉积法 --- 蒸发法 --- 溅射法 --- 电镀法 资料来源 : 芯片制造, 华泰证券研究所图形化工艺图形化工艺是将设计好的电路图映射到晶圆表面, 将特定部分的薄膜去除, 留下想要的图形部分, 也叫光掩膜 (photomasking) 或者光刻 (photolithography), 包括光刻 刻蚀 去胶 清洗工艺和平整化工艺 光刻环节包括前处理 匀胶 前烘 后烘 刻蚀是利用化学和物理的方法有选择地去除某些部分, 在晶圆各层上形成图案 平整化是对晶圆进行研磨, 使晶圆表面达到完美的平坦化, 以方便后续薄膜沉积 在晶圆的制造过程中, 晶体三极管 二极管 电容器 电阻器和金属层的各种物理部件都在晶圆表面或表层内形成 这些部件都是一个一个掩膜层上刻蚀生成的 图形化工艺的目的是根据设计电路的要求生成精准尺寸的图形, 且位臵准确, 是四个基本工艺中最关键的环节 图 6: 图形化工艺是将薄膜的特定位臵去除的工艺 资料来源 : 芯片制造, 华泰证券研究所 图 7: 三种不同的光刻技术 (1) 扫描 (2) 同比例重复光刻 (3) 缩小后重复光刻 资料来源 : 芯片制造, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 9 9

10 表格 3: 刻蚀工艺的分类湿法浸泡式喷射式 干法等离子体离子铣反应离子刻蚀 (RIE) 资料来源 : 芯片制造, 华泰证券研究所 掺杂掺杂是将特定的杂质引入晶圆表层, 有两种主要工艺 : 热扩散 (Thermal diffusion) 和离子注入 (implantation), 前者是化学反应, 后者是物理反应 掺杂工艺的目的是在晶圆表层形成富含电子或者富含空穴的区域, 产生 PN 结, 赋予半导体材料导电性 掺杂的过程类似于将一滴墨水滴入一杯清水中, 墨水会逐渐扩散至均匀分布整个杯子 图 8: 掺杂工艺是将通过薄膜开口将特定杂志引入晶圆表层的工艺过程 资料来源 : 芯片制造,, 华泰证券研究所 热处理热处理是指将晶圆加热和冷却 薄膜 光刻 掺杂工艺后都会有热处理步骤 薄膜后的热处理通常是对金属导线热处理, 让金属与晶圆表面紧密熔合, 用来确保金属的导电性 光刻后的热处理是让清洗溶剂通过加热而蒸发掉, 从而得到精确的图形 掺杂后的热处理被称为退火, 温度在 1000 度左右, 用来修复离子注入的损伤 热处理也会用于薄膜生成环节, 用于在硅表面形成二氧化硅氧化层 图 9: 热处理工艺是通过 资料来源 : 芯片制造,, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 10 10

11 重复以上四个环节, 可以得到芯片 现代芯片的结构非常复杂, 实现这些复杂的结构要求许多工艺, 每一个工艺都会有数个步骤或者子步骤 实际上 64GB CMOS 器件的工艺可能需要 180 个主要步骤 52 个清洗 / 剥离步骤以及多大 28 块光刻板 但这些步骤再复杂, 也是由上述四个主要步骤不断重复得来的 图 10: 现代芯片的复杂结构 资料来源 : 芯片制造, 华泰证券研究所 封装与测试第三个阶段是封装与测试 封装的作用是把晶圆上的芯片切割开 ; 提供物理 环境的保护并帮助芯片散热 ; 赋予芯片引脚和外界连接的 I/O 口 有三种基础的封装方法 : 引线压焊 凸点球技术和载带自动压焊 (TAB) 测试也叫最终测试 (Final test), 包括电性测试和环境适应的可靠性测试, 是测试芯片是否符合客户要求 封装流程一般也分前后两个部分 : 用塑料封装 ( 固封 ) 之前的工艺步骤称为前段操作 (Front end operation), 成型之后的工艺步骤成为后段操作 (Back end operation) 上一个晶圆的制造工艺中, 会需要重复四个环节形成不同器件 在封装的环节, 是一条龙的生产线, 没有反复的工序 每个具体工序的流程由封装的类型及其他因素决定, 某道工序是否执行, 取决于客户定制的要求 晶圆制造设备概览 半导体设备是指制造各种半导体元件和集成电路的专用设备, 包括晶片制造 光刻板 ( 掩膜板 ) 设备 晶片制造 封装测试 线上技术检测及理化分析 超净设备等设备和专用模具等 随着半导体工艺的不断推进 半导体产业规模的不断扩大, 半导体设备的重要性日益凸显 以 12 寸产线为例子, 光刻机等关键设备的价格高达数千万美元, 整条 12 英寸产线设备的投资更是需要 亿美元 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 11 11

12 可以按照流程来分, 将整个产业分为三类设备 : 晶圆制造设备 IC 封装类设备 测试类设备本文主要讨论第一种晶圆制造类设备, 其中包括晶圆级封装设备, 也叫先进封装设备 薄膜沉积设备通过薄膜沉积设备, 可以在晶圆片表面生长薄膜 导电薄膜层和绝缘薄膜层的沉积对于能否在晶圆片上成功制作出半导体来说是非常重要的 此一类型常见的设备有 MOCVD( 金属有机物化学气相沉淀 ) 硅外延(Epitaxy, 在单晶衬底上生长同晶格的外延层 ),tube CVD( 管式 CVD 设备, 主要有低压 LPCVD 和等离子增强 CVD 两种 ),nontube CVD( 非管式 CVD 设备, 分为原子层沉积 ALD, 低压 LPCVD, 常压 APCVD 和等离子增强 CVD 四种设备 ), 溅射设备,ECD( 电化学沉积设备 ), 见表格 4 表格 4: 常见薄膜沉积设备分类大分类细分类 MOCVD 硅外延 Tube CVD ( 管式 CVD) 管式 LPCVD 管式等离子增强 CVD Nontube CVD ( 非管式 CVD) 溅射设备 非管式 LPCVD 非管式等离子增强 CVD 原子层沉积设备 ALD 常压 APCVD 非管式 PVD ECD 电化学沉积设备 资料来源 : 芯片制造, 华泰证券研究所 图 11: 基本 CVD 子系统 ( 左 ) Applied Materials 最新 ALD 设备 资料来源 : 芯片制造,Applied Materials 官网, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 12 12

13 上胶机光刻前需要先对晶圆片上光刻胶 光刻胶是一种有机化合物, 受紫外线曝光后, 光刻胶溶解度会发生变化 晶圆片涂上光刻胶经过光刻机曝光后放入显影溶液, 掩膜版的图案就会在晶圆片上显示出来 上胶机 (Coater) 是通过电脑控制, 将光刻胶均匀覆盖在晶圆表面的机器 图 12: 上胶机旋转式涂底胶 资料来源 : 芯片制造, 东电电子官网, 华泰证券研究所 光刻设备光刻环节 (Lithography) 是晶圆制造核心环节, 光刻机是光刻环节的核心设备 从早期硅片制造以来, 主流光刻设备可以分为四代 : 接触式光刻机 (contact aligner) 接近式光刻机 (proximity aligner) 扫描投影光刻机(projection aligner) 以及步进式光刻机 (Stepper), 目前步进式光刻机是主流 图 13: 光刻机的系统部件 ( 左 ) ASML 光刻系统 EUV( 右 ) 资料来源 : 芯片制造,ASML 官网, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 13 13

14 步进式光刻机是一套投影曝光设备, 能将掩膜版图形通过透镜投影到一部分硅片上 步进式光刻机相比其他机器的优点是, 掩膜版缺陷率低, 尺寸更小 步进式光刻机按照曝光光源的波长可以分为 :G 线 ( 436 nm),i 线 ( 365 nm),248 纳米 ( 深紫外 [DUV]),193 nmduv,157 nm 紫外真空紫外线 (VUV) 和 10-14nm 的极紫外线 (EUV) 步进式光刻机也可以按照步进动作分为分步重复光刻机 (repeater) 或步进扫描光刻机 (scanner) 前者是通过一次性投影, 在晶圆片上刻画电路 ; 后者是通过一次次来回扫描在晶圆片上刻画电路 按照光刻中光学传播的介质, 光刻机还可以分为, 液体介质的沉浸式光刻和空气的干式光刻 193nm 之前,436nm 365nm 248nm 都是干式光刻, 但随着摩尔定律的推进, 干式光刻遇到了困难 直到 2002 年底引入了浸入式光刻, 才很好得解决了这一难题 刻蚀 清洗 平整化设备有选择性地去除材料的过程, 叫做显影 刻蚀 清洗 平整化 (Etch, Clean and Planarization) 刻蚀的基本目的是在涂胶的晶圆片上正确的复制掩膜图形, 去掉不需要的材料, 留下有需要的图形 刻蚀工艺的准确性是十分关键的, 不正确的刻蚀会导致芯片无法工作 并且刻蚀是不可逆的, 一旦材料被刻蚀去掉, 刻蚀过程中的错误是无法纠正的, 芯片只能报废 刻蚀分为干法刻蚀和湿法刻蚀两种 干法是把晶圆表面暴露于气态中的等离子体, 等离子体通过光刻胶中开出的窗口与硅片发生物理化学反应, 从而去掉不需要的表面材料 干法刻蚀包括金属刻蚀 介质刻蚀和硅刻蚀 干法刻蚀后, 也会需要用湿法腐蚀来去除干法刻蚀的残留物 湿法刻蚀是用化学试剂, 去除晶圆表面多余的材料, 湿法一般是在尺寸较大的情况下使用, 一般用于去除光刻胶等 表格 5: 刻蚀工艺的分类湿法浸泡式喷射式 干法等离子体离子铣反应离子刻蚀 (RIE) 资料来源 : 芯片制造, 华泰证券研究所 图 14: 等离子刻蚀设备原理图,Applied Materials 等离子刻蚀设备 资料来源 : 芯片制造,Applied Materials 官网, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 14 14

15 离子注入机硅的导电性很差, 只有当硅中注入少量杂质时, 其结构和导电率才会发生变化, 硅才能成为有用的半导体 掺杂 (Doping/ Ion Implantation) 是制造半导体 PN 结的方法, 离子注入是最重要的掺杂方法 离子注入工艺是在离子注入机中进行的 离子注入机是半导体工艺中最复杂的设备之一, 注入机包含离子源部分, 离子源从源材料中产生带正电荷的杂质离子 离子被吸出后, 用质量分析仪将不同离子分开以形成有用的离子束 离子束在电场中加速, 获得高速度, 注入到晶圆片中形成特定晶格结构 注入之后的热退火过程将激活晶格结构中的杂质离子 所有的注入工艺都需要在真空下进行 图 15: 离子注入机的工作原理,Applied Materials 离子注入机 资料来源 : 芯片制造,Applied Materials 官网, 华泰证券研究所 热处理设备 - 高温炉高温设备 (RTP, Oxidation/Diffusion) 在晶圆制造中有多种用途 高温设备可以分为两种 : 氧化 / 扩散炉 RTP 快速热处理炉 氧化炉可进一步根据石英管的水平位臵分为卧式炉 立式炉 晶圆片上的氧化物可以通过热生长或者沉积的方式生成, 热生长就需要用到氧化炉, 可处理大量晶圆片 离子注入后需要热退火就需要 RTP, 升温快, 但只能一次处理少量晶圆片 图 16: 退火设备设计,Applied Materials 热处理设备 资料来源 : 芯片制造,Applied Materials 官网, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 15 15

16 过程控制设备当晶圆片直径增长到 200mm 时, 晶圆尺寸太大且太贵, 不适合进行手动操作 因此, 资本支出转向自动设备, 具有一级的净化间和更专业的自动设备 工艺控制系统 业界开始提供大型的微处理器 图 17: 光刻过程控制原理 资料来源 :KLA-Tencor 官网, 华泰证券研究所 晶圆级封装设备晶圆级封装设备顾名思义, 是在晶圆层面的封装设备 这一类设备包括, 接触式探针, 先进封装的图形化设备 程序控制设备和检测设备 这类设备融合了晶圆制造和晶圆封装的工艺, 在摩尔定律驱动下, 未来晶圆制造厂和封测厂的合作将越来越紧密 全球半导体设备产业发展现状半导体设备行业受半导体行业景气度影响显著目前市场规模根据国际半导体设备与材料协会 (SEMI) 最新的行业数据,2015 年半导体设备 ( 含 IC LED 分立器件 传感器 ) 行业产值为 365 亿美金, 较 2014 年下降 2.56% Semi 的数据, 包含晶圆前道制程设备 后道封装测试设备以及其他前道设备 ; 其他前道设备包括光罩 / 倍缩光罩制造 晶圆制造以及晶圆厂设施 预计未来市场情况根据 Gartner 预测, 2016 年半导体资本支出 594 亿美金, 较 2015 年减少 4.7%, 说明半导体厂商 2016 普遍持谨慎态度 预计从 2016 年至 2019 年, 全球半导体资本支出将以每年 6.9% 的速度增长 预计晶圆级制造设备市场在 2016 年将达到 329 亿美金, 较 2015 年下降 2.4% 从 2016 年至 2019 年, 全球晶圆级制造设备市场将以每年 8.2% 的速度增长 资本支出 晶圆制造设备的增长速度远高于半导体产业产值的未来增长, 这是因为, 摩尔定律趋向极限, 晶圆制造厂商如台积电等需要在设备上增加更多投入, 才能实现技术上的进一步提升 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 16 16

17 表格 6: 全球半导体产业预测产值与增长速度 单位 : 百万美金 2016 CAGR 半导体产业产值 ( 不包括太阳能产业 ) 340, % 半导体资本支出 59, % 晶圆制造设备 ( 包括晶圆级先进封装设备 ) 32, % 资料来源 :Gartner, 华泰证券研究所 过去十年市场情况 根据 SEMI 统计报告, 过去的十年中, 半导体设备市场规模一直都在 400 亿美金这个数量级 图 18: 过去十年全球半导体设备市场规模 总值亿美金 增长速度 % % % 50.00% 0.00% % % 资料来源 :Gartner,Semi, 华泰证券研究所 过去的十年, 半导体设备市场的波动性很大, 且与半导体产业景气度密切相关 2008 年经济危机发生后,2009 年全球 GDP 下降 2.2%, 半导体产业总产值下降 10.7%, 半导体设备产值陷入低谷, 较 08 年的设备销售减少了 46%, 只有 159 亿美金 而随着经济复苏信号的出现,2010 年全球 GDP 增长 4%, 半导体产业总产值增加 31.8%, 半导体设备的销售增加了 148.3% 半导体设备的销售在 2011 年到达顶峰, 为 435 亿美金 下图可以看到, 半导体设备投资与半导体行业增速正相关, 但波动更剧烈 图 19: 半导体设备投资受半导体行业景气度影响, 波动剧烈 资料来源 :Gartner,Semi, 华泰证券研究所 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 17 17

18 中国半导体设备需求渐旺根据市场分地区看, 台湾 韩国 日本与大陆四个市场半导体设备的需求在增加, 而北美 其他地区与欧洲的设备需求则呈现萎缩态势 台湾已连续第 4 年稳坐半导体设备最大需求市场的宝座, 设备支出金额达 96.4 亿美元 而南韩与日本市场扩大并超越北美, 分别排名第二及第三, 北美设备市场较 2014 年下降 37% 中国大陆市场保持持续的增长势头, 同比增长 12.1%, 创历史新高 49 亿美金 图 20: 分区域半导体设备金额 亿美元 台湾韩国日本北美中国欧洲其他 资料来源 :Gartner,Semi, 华泰证券研究所 中国设备市场过去十年也会受到全球半导体景气度的极大影响, 但我们可以看到, 自从 2013 年起, 中国设备需求市场持续增长, 从 32.7 亿美金增长到 49 亿美金, 年均增速为 22.4%, 增速明显高于全球整体设备市场的增速 7.5% 这是因为, 我国认识到半导体产业事关国家安全, 开始重视半导体产业的发展, 政策是这一波快速增长的重要原因 图 21: 过去三年, 中国半导体设备市场增速高于全球增速 资料来源 :Gartner,Semi, 华泰证券研究所 晶圆制造类设备需求越来越高按照类别看, 半导体设备可以分为晶圆级设备 封装设备 测试设备三大类 其中晶圆级设备的投入占比最高 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 18 18

19 根据 Semi 协会的数据显示,2015 年, 晶圆级设备占总设备资本支出的 83%, 测试设备支 出占 8%, 封装设备支出占 5%, 其他前道设备占 3% 表格 7: 全球设备市场规模按流程分类 单位 : 亿美金 市场规模 占比 晶圆级设备 ( 包括晶圆级封装设备 ) % 测试设备 33 8% 封装设备 21 5% 其他 14 3% 总计 % 资料来源 :Gartner, 华泰证券研究所 而从 2005 年到 2015 年, 可以发现晶圆级设备的占比在不断提高, 这是因为而随着摩尔定 律的推进, 晶圆设备更新速度较以往更快, 晶圆制造商的投入越来越大 图 22: 半导体设备中晶圆级设备占比最高 资料来源 :Gartner,Semi, 华泰证券研究所下面主要讨论晶圆制造设备, 即前道设备, 包括晶圆级封装设备 不讨论晶圆 (wafer) 生产设备 晶粒 (Die) 级别封装设备 厂房设施 维修 认证设备等 在晶圆制造设备中, 继续分可以分为沉积设备 光刻机 光刻涂胶机 刻蚀清洗平整化设备 离子注入机 热处理设备 过程控制机 自动化设备及其他 这些设备中有些设备也被用于晶圆级封装过程 以下统计包含部分晶圆级封装设备 可以发现, 沉积类设备 光刻设备及配套 刻蚀类设备占据整个晶圆制造设备市场的 76% 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 19 19

20 表格 8: 全球晶圆制造类设备市场规模按流程分类 单位 : 百万美金 市场规模占比 代表厂商 沉积设备 6,977 22% Applied Materials, Lam Research, 东电 光刻机 6,105 19% ASML,Nikon,Canon,NuFlare 光刻涂胶机 1,446 5% 东电电子,Screen Semiconductor Solutions 刻蚀 清洗 平整化设备 9,482 30% Lam Research, Applied Materials, 东电电子 离子注入机 1,032 3% Applied Materials, Axcelis Technologies 热处理设备 755 2% Applied Materials, 东电,Hitachi Kokusai Electric 过程控制机 4,060 13% KLA-Tencor,Applied Materials 自动化设备 1,627 5% Murata Machinery,Daifuku, Applied Materials 其他晶圆制造设备 512 2% 晶圆制造类设备 31, % 资料来源 :Gartner, 华泰证券研究所 产业寡头垄断特征明显 2015 年全球半导体设备市场为 365 亿美元, 相较于 2014 年下降 2.56% 2015 年前五大半导体设备厂商的市占率总计为 66%, 设备行业集中度非常高 从销售排名来看, 美国应用材料依靠沉积和刻蚀领域的优势蝉联龙头地位, 而美国泛林公司 (Lam Research) 抢走荷兰阿斯曼 ASML 刻蚀和沉积的业务, 由 2014 年第四名一跃成为第二名, 同时 ASML 位居第三 同样擅长刻蚀和沉积的东电电子 (Tokyo Electron) 与精于制程管控量测得美国科磊 (KLA-Tencor) 分列 4 5 名 表格 9: 2015 年全球半导体设备前十大厂商 公司 设备类营业收入 ( 百万美金 ) 市场份额 % ROA ROE 毛利率净利率 Applied Materials 6,420 19% 10% 17% 41% 14% Lam Research 4,808 14% 8% 15% 43% 12% ASML 4,731 14% 9% 14% 46% 22% Tokyo Electron 4,325 13% 12% 16% 40% 12% KLA-Tencor 2,043 6% 11% 110% 57% 13% Screen Semiconductor Solutions 972 3% Hitachi High-Technologies 788 2% 6% 11% 22% 5% Nikon 724 2% 2% 4% 38% 2% Hitachi Kokusai Electric 634 2% 10% 19% 29% 9% ASM International 583 2% -3% -7% 41% -9% 其他 7,577 23% 总计 33, % 资料来源 :Gartner, 华泰证券研究所 全球薄膜沉积设备市场 2015 年销售额达 70 亿美金, 占晶圆制造设备市场的 22%, 其中 Applied Materials 占据薄膜沉积设备市场 41% 的份额 其他生产厂商还有 Lam Research, Tokyo Electron 等 薄膜沉积设备中, 以 CVD 化学沉积系统的销售为主, 占据薄膜沉积设备销售额的 69% 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 20 20

21 图 23: 2015 年全球薄膜沉积设备市场份额 图 24: 2015 年薄膜沉积设备分类 41% 69% Applied Materials Lam Research Tokyo Electron 其他资料来源 :Gartner, 华泰证券研究所 CVD 化学沉积系统 资料来源 :Gartner, 华泰证券研究所 其他 全球光刻机市场 2015 年销售额达 61 亿美元, 占晶圆制造设备市场的 19%, 光刻机市场 其中步进式光刻机 2015 年销售额达 56 亿美金, 占总体光刻机销售的 92% 以上 ASML 垄断光刻机 70% 以上的市场份额, 其他生产厂商包括 Nikon,Canon, NuFlare Technology 图 25: 2015 年全球光刻机市场份额 图 26: 2015 年光刻机分类 70% 92% ASML 其他 步进式光刻机 其他 资料来源 :Gartner, 华泰证券研究所 资料来源 :Gartner, 华泰证券研究所 全球上胶机市场 2015 年销售额达 14 亿美金, 占晶圆制造设备市场的 5%, 其中东 电电子占据上胶机 90% 的市场份额 其他生产厂商还有 Screen Semiconductor Solutions, SEMES 等 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 21 21

22 图 27: 全球上胶机市场份额 90% Tokyo Electron 其他 资料来源 :Gartner, 华泰证券研究所 全球刻蚀设备市场 2015 年销售额达 95 亿美金, 占晶圆制造设备市场的 30%, 其中 Lam Research 占据刻蚀市场 38% 的份额 其他生产厂商还有 Applied Materials, 东电电子等 刻蚀设备中, 以干法刻蚀设备的销售为主, 占据刻蚀设备销售总额的 62% 以上 图 28: 2015 年刻蚀设备市场份额 图 29: 2015 年刻蚀设备分类 38% 62% Lam Research 其他 干法刻蚀设备其他 资料来源 :Gartner, 华泰证券研究所 资料来源 :Gartner, 华泰证券研究所 全球离子注入机市场 2015 年销售额达 10 亿美金, 占晶圆制造设备市场的 3%, 其 中 Applied Materials 占据离子注入机市场 70% 的份额 其他生产厂商还有 Axcelis Technologies,SMIT 等 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 22 22

23 图 30: 全球离子注入机市场份额 70% Applied Materials 其他 资料来源 :Gartner, 华泰证券研究所 全球高温炉市场 2015 年销售额达 8 亿美金, 占晶圆制造设备市场的 2%, 其中 Applied Materials 占据高温炉市场 50% 的份额 其他生产厂商还有 Hitachi Kokusai Electric, 东电电子等 图 31: 全球高温炉市场份额 50% Applied Materials 其他 资料来源 :Gartner, 华泰证券研究所 全球过程控制设备市场 2015 年销售额达 40 亿美金, 占晶圆制造设备市场的 13%, 其中晶圆检测和缺陷检测机占据过程控制设备销售的 50% 以上, 光刻环节的控制设备占 30% 厂商 KLA-Tencor 占据 50% 的市场份额, 其他厂商有 Applied Materials, Hitachi High-Technologies,Hermes Microvision 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 23 23

24 图 32: 2015 年过程控制设备市场份额 图 33: 2015 年过程控制设备分类 50% 50% 30% KLA-Tencor 资料来源 :Gartner, 华泰证券研究所 其他 晶圆检测和缺陷检测机光刻环节的控制设备其他 资料来源 :Gartner, 华泰证券研究所 全球自动化设备市场 2015 年销售额达 16 亿美金, 占晶圆制造设备市场的 5%, 其 中运输晶圆的设备和光掩模对准设备的销售占据自动化设备市场的 50% 以上 主 要厂商有 :Murata Machinery, Daifuku, Applied Materials. 图 34: 全球自动化设备市场份额 Murata Machinery Daifuku Applied Materials 其他 资料来源 :Gartner, 华泰证券研究所从企业总部的地域分布来看, 美国 日本 荷兰是全球半导体设备制造的三大强国, 全球知名的半导体设备制造商主要集中在上述国家 2015 销售收入排名前 20 的设备生产厂家中, 有 11 家来自日本,7 家来自美国, 一家来自荷兰, 一家来自台湾 从产品来看, 美国主要控制等离子刻蚀设备 离子注入机 薄膜沉淀设备 掩膜版制造设备 检测设备 测试设备 表面处理设备, 日本则主要生产光刻机 刻蚀设备 单晶圆沉积设备 晶圆清洗设备 涂胶机 显影机 退火设备 检测设备 测试设备 氧化设备等, 荷兰则在高端光刻机 外延反应器 垂直扩散炉等领域处于领导地位, 台湾主要是生产电子束检测设备 下一代设备的方向 半导体设备的技术进展始终引领着制造技术的发展 各大集成电路制造公司为推动现金工艺 纷纷与设备制造商合作进行开发 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 24 24

25 随着制程尺寸的不断缩小, 以荷兰 ASML 为代表的设备生产商的技术已经达到了商用极紫外光刻 (EUV) 设备 13nm 分辨率 ; 在产能方面 ASML 与台积电联手已经将 22nmEUV 设备的每日产能提升到了 1022 片, 大幅度得提升了设备的性能 在刻蚀 沉积设备领域, 由于 FinFET 器件和 3D NAND 等新兴技术变革的推动, 以应用材料 (Applied Materials) 为代表的设备商开发了各类 12 英寸的生产设备, 满足 28nm 及以下需求的新建设备与应用服务 在先进封装设备方面, 伴随着 TSV 封装技术的推广, 大量 2.5 及 3 维封装设备已经应用于国际大厂 伴随着芯片尺寸及线宽的缩小, 用于检验和测量 FinFETs 3D NAND 等生产中薄膜及图形的检测设备应运而生 除了参数测量 缺陷检测等检测设备外, 由于尺寸的减小相应参数信号也会减弱, 这对新型的参数测量及功能测量设备提出了更高的要求 目前晶圆尺寸都是 12 英寸, 下一个尺寸是 18 英寸 而晶圆从 12 英寸过渡到 18 英寸最大的技术挑战之一是光刻技术,ASML 表示, 基于客户的要求, 决定将暂缓 18 英寸设备的开发, 这说明目前全球 IC 生产暂不可能过渡到 18 英寸 图 35: 下一代设备的方向 EUV 3D NAND TSV 资料来源 : 华泰证券研究所 我国的集成电路设备产业现状我国集成电路设备市场处于起步阶段 根据 Semi 的报告, 我国 2015 年半导体设备需求市场达到历年最高, 为 49 亿美金 我国半导体设备供给市场较小, 我国国产设备 2015 年销售额约为 7.35 亿美金, 折合人民币 47.8 亿 半导体设备的国产化比例 15% 根据中国电子专用设备工业协会的数据, 我国 35 家主要半导体设备制造商, 于 2014 年共销售半导体设备 ( 含集成电路 分立器件 LED 太阳能设备)3849 台 销售收入 亿元, 同比增长 34.5% 其中集成电路设备销售 1396 台, 销售收入 亿元, 同比增长 54.4%, 占整体国有半导体设备供给量的 39.4% 当前, 我国集成电路设备基本需要进口,8 英寸 12 英寸的制造设备基本需要直接从国外厂商处购买,8 英寸以下的生产线很多采用翻新的二手设备 总体上来说, 国内在太阳能与 LED 领域的设备已经实现了重大的突破, 相较于集成电路,LED 与太阳能的设备创新多, 生产 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 25 25

26 厂商多 在集成电路领域内, 先进封装的设备进展速度也较快, 前道设备发展仍处于初级阶段 总体来看, 由于设备生产对技术与资金要求非常高 国内集成电路设备客户有集成电路商业机构, 也有相关高校研究所 图 36: 我国集成电路设备市场处于起步阶段 2500 亿元 全球半导体设备需求中国半导体设备需求国产半导体设备供给集成电路市场供给 注 : 全球半导体设备市场需求原数据为 365 亿美元, 本图采用汇率 6.5 换算为人民币 资料来源 :Semi, 华泰证券研究所 资金支持 + 政策推动, 集成电路设备国产化指日可待如此大量的进口依赖不仅严重影响我国集成电路的发展, 也关系到我国的信息安全问题 大基金的筹备我国对此问题极为重视, 相继发布了 进一步鼓励软件产业和集成电路产业发展的若干政策 集成电路产业 十二五 规划 国家集成电路产业发展推进纲要 ( 简称 推进纲要 ), 通过成立领导小组和建立国家基金, 强化产业顶层设计, 统筹协调整个集成电路产业的发展 推进纲要 中明确指出, 要突出芯片设计 芯片制造 封装测试 装备与材料的全产业链布局 ; 在装备和材料业方面, 加强装备 材料与工艺的介乎, 研发光刻机 刻蚀机 离子注入机等关键设备 02 专项的推动针对集成电路设备制造, 国家出台了 国家科技重大专项 - 极大规模集成电路制造装备及成套科技项目 ( 简称 02 专项 ) 02 专项主要是对半导体设备与材料进行政策支持 目前, 光刻机 14-20nm 工艺 3D 封装技术是 02 专项下一个阶段的主要技术攻关任务 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 26 26

27 图 37: 集成电路设备国产化指日可待 资料来源 : 华泰证券研究所 国产设备已实现较大的突破 02 专项实施至今, 等离子刻蚀机 离子注入机 氧化炉 清洗设备方面取得了较大的突破, 空白得到填补, 产业链基本形成, 技术水平提升了 4 代, 与国际先进水平 10nm 的机器还有 2-3 代的差距, 国内集成电路设备产业已渐渐萌芽 在 02 专项的支持下,30 多种集成电路设备完成了认证进入销售, 总体水平达到 28nm 45-65nm 工艺完成研发进入量产,28nm 工艺研发完成即将进入生产,14-20nm 先导技术研发取得了大批知识产权 集成电路封装技术接近国际先进水平, 与国际先进水平的差距逐步缩小 据集成电路专项实施管理办公室统计,02 专项成果形成销售额累计已达 419 亿元, 其中设备类销售 19 亿元 在先进封装生产线关键设备方面, 国产设备取得了较大的突破, 产业化进展迅速 此前, 国产先进封装生产线的关键设备已经获得国内外封装厂商的认可, 其中包括步进式投影光刻机 先进封装用匀胶机高密度深硅等离子刻蚀机 用于 3D 封装的硅通孔 (TSV) 物理气相沉积设备 (PVD) 刻蚀设备等 这些设备的国产化推动了我国先进封装业的发展 表格 10: 国内厂商各工艺环节产品表 薄膜工艺图形化工艺 图形化工艺 掺杂工艺 热处理工艺检测与控制设备 沉积设备光刻机及配套清洗机 刻蚀机 平整化设备 离子注入机高温炉 七星电子 CVD 铜互连清洗设备, 超精细清洗设备 立式氧化炉 ALD 北方微电子 PVD 刻蚀设备 CVD 中微半导体设备 CVD 刻蚀设备 上海新阳 湿法设备 沈阳拓荆 PECVD 上海微松自动化盛美半导体 铜互连清洗设备, 超精细清洗设备 晶圆级检测 深圳格兰达 光学测量设备 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 27 27

28 薄膜工艺图形化工艺 图形化工艺 掺杂工艺 热处理工艺检测与控制设备 沉积设备光刻机及配套清洗机 刻蚀机 平整化设备 离子注入机高温炉 睿励科学 北京中科信 离子注入机 沈阳芯源 涂胶机 喷雾显影机 清洗机 刻蚀机 中科院光电研究院 光刻机 上海微电子装备 各类光刻机 资料来源 : 华泰证券研究所 薄膜沉积设备在该领域中, 国内代表公司有 : 北方微电子 沈阳拓荆 七星电子 中微半导体等, 目前已有 PVD PECVD LPCVD ALD MOCVD 等设备, 部分进入产业化阶段 北方微电子研制了 22-45nm 铜互连 PVD( 物理气相沉积设备 ), 已经进入 12 英寸中国集成电路制造商的 28nm 产线 公司还研发完成用于先进封装领域的 TSV( 硅通孔 )PVD 沈阳拓荆科技有限公司研制的 65-90nm PEVCD( 等离子体增强型化学气相沉积设备 ) 打破了 12 英寸 PECVD 技术都被欧美以及日本等国家所垄断的局面 沈阳拓荆科技主营 4-6 英寸 PECVD 设备经 NOVELLUS 授权已在国内实现规模生产 北京七星华创电子股份有限公司 ( 以下简称七星华创 ) 的 22-45nm LPCVD( 低压化学气相沉积设备 ) 已通过国内晶圆大厂生产线的认证考核, 进入产业化阶段 七星华创目前正在研发 14-20nm ALD( 原子气相沉积系统 ) 另外, 中微半导体设备 ( 上海 ) 有限公司和理想能源设备 ( 上海 ) 有限公司都自主研发成功 LED 芯片外延用的 MOCVD( 金属有机化合物气相沉积设备 ), 目前中微半导体的 MOCVD 已经经历了大批量 ( 至少 100 批次 ) 生产的考核, 进入了商业化阶段 光刻机及配套设备在该领域中, 国内代表公司有 : 上海微电子装备 沈阳芯源等, 目前已有 90nm 110 nm 280nm 等三个节点的光刻设备和先进封装光刻机 上胶机, 部分进入产业化阶段 上海微电子装备有限公司是国内领先的光刻机整机研发和生产的企业, 近年来在中科院光电研究中所 清华大学 中科院长春光研究所 成都光机研究所和上海光机研究所等单位协作支持下, 目前已开发四大系类光刻机, 分别为前道设备光刻机, 后道封装光刻机,TFT 平板显示光刻机 LED 等分立器件光刻机 前道晶圆制造设备中, 包含 90nm 110nm 和 280nm 三个节点,110nm 280nm 光刻机均已形成量产, 进入境内外半导体设备市场的销售,90nm 于 2014 年进入总装阶段,2015 年进入验证环节 先进封装光刻机, 主要用于先进封装 Bumping( 铜栓凸块 ) 和 TSV( 硅通孔 ) 的光刻工艺, 截至 2014 年底已经向海内外市场累计出货 23 台, 已经进入江苏长电科技集团的集成电路封装生产线并正式使用 光刻机使用配套设备 : 沈阳芯源微电子设备有限公司已经开发成功前道光刻工艺 12 英寸晶圆匀胶设备 ( 上胶机 ), 也已上市销售 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 28 28

29 干法刻蚀设备在该领域中, 国内代表公司有 : 中微半导体 北方微电子等, 目前已有 14-90nm 的刻蚀机, 并进入产业化阶段 在高端集成电路芯片生产线上, 干法刻蚀设备配臵的费用仅次于光刻机 近年来我国刻蚀机取得卓越的进展 中微半导体设备 ( 上海 ) 有限公司开发并量产三代刻蚀机, 即 65-90nm 28-65nm 14-28nm 刻蚀机, 截至 2014 年 11 月, 中微半导体已有 250 余台反应室 ( 反应腔 ) 在国内外 17 条高端芯片生产下上运行 而在韩国和台湾的高端芯片生产线上, 刻蚀机已经用于 16nm, 甚至 10-16nm 的芯片制作 在中芯国际, 国产刻蚀机的机台数量已超过总数的 1/3 针对先进封装, 中微也开发了 TSV( 硅通孔 ) 设备, 可用于 8 英寸生产线 北方微电子基地设备工艺研究中心有限责任公司 ( 以下简称北方微电子 ) 完成了 65-90nm, 40-65nm,20-32nm 和 14-20nm 四代刻蚀机的研发 65nm 刻蚀机已通过 12 英寸片生产线的考核验证, 并实现销售 截至 2014 年初, 已有数台设备进入国内外高端芯片生产线的应用 湿法刻蚀机与清洗机在该领域中, 国内代表公司有 : 沈阳芯源 盛美半导体设备 上海新阳 七星华创 常州瑞择微电子等, 目前湿法刻蚀设备和超声波清洗机, 并进入产业化阶段 在该领域中, 沈阳芯源自主研发成功的湿法刻蚀设备, 适用于 SiO2,SiN,Polysilicon 和各种金属层的刻蚀, 清洗和去胶等工艺流程 盛美半导体设备 ( 上海 ) 有限公司开发了 12 英寸单晶圆超声波清洗机, 该设备除在国内进入大生产线应用外, 还通过了韩国海力士生产线的验证 上海新阳与与硅密四新 ( 美国厂商 ) 合资提供湿法刻蚀工艺支持以及设备服务, 切入封装设备领域, 项目首期投资 1 亿元建设每年 24 台设备的生产线, 资金来源由原股东按比例认购 此外, 还有七星电子研发成功得 45-65nm 铜互连清洗设备和 65nm 超精细清洗设备, 以及常州瑞择微电子科技有限公司的 90nm 掩膜清洗设备等 离子注入设备在该领域中, 国内代表公司有 : 北京中科信 上海凯世通等, 目前已有 65-90nm 大角度离子注入机 太阳能电池用离子注入机 AM-OLED 用离子注入机, 并进入产业化阶段 北京中科信电子装备有限公司 ( 以下简称中科信 ) 近年来开发成功 65-90nm 大角度离子注入机 3 台样机组完成测试验证, 进入 12 英寸产线, 22-45nm 低能大束流离子注入机处于研发认证状态 公司的设备已经实现了对中芯国际的销售 上海凯世通半导体有限公司近年来开发了太阳能电池用离子注入机 AM-OLED 用离子注入机, 并计划开发用于集成电路前沿工艺技术 FinFET 和石墨烯改性离子注入机 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 29 29

30 氧化炉在该领域中, 国内代表公司有 : 七星电子 北方微等, 目前已有 12 英寸氧化炉 12 寸单片退火设备, 并进入产业化阶段 七星电子的 12 英寸立式氧化炉也已经通过生产线的验证, 进入了产业化的阶段, 工艺技术涵盖 90-28nm, 已实现销售 10 台 ( 包括中芯国际 B2 的 2 台 28nm 氧化炉 ) 北方微首台集成电路 12 寸单片退火设备进入生产线 自动化设备及配套在该领域中, 国内代表公司有 : 上海微松 上海技美等, 目前已有多轴智能机械手 晶圆级植球机和 BGA 封装基板植球机, 并进入产业化阶段 上海微松自动化有限公司主要从事晶圆级封装设备的设计和制造, 开发了晶圆级植球机和 BGA 封装基板植球机, 可应用于 12 英寸产线 上海技美电子科技有限公司配合半导体高端设备 太阳能电池设备 LED 设备和平板显示设备的制造提供多轴智能机械手 高精度晶圆贴膜与撕膜等设备 集成电路工艺检测设备随着集成电路芯片制程技术的不断提升, 各种工艺检测设备越显重要 目前国内从事集成电路工艺检测设备研发的主要单位有睿励科学仪器 ( 上海 ) 有限公司 ( 简称睿励科学仪器 ) 深圳格兰达等 截至 2014 年底, 睿励科学仪器已研制成功光学测量设备 TFX3000, 其性能达到了国际先进水平, 在国内 12 英寸主流生产线实现首台销售, 进入了中芯国际 ( 上海 )12 英寸大生产线, 也进入了国际最领先厂商的 12 英寸生产线 深圳格兰达技术有限公司与美国最大 IC 测试供应商合作开发了 IC 芯片自动测试分选机, 目前正在小规模的试产阶段 国内各厂商简介从设备生产商所在地来看, 我国设备企业主要分布于上海及其周边地区 北京 沈阳以及深圳 上海地区依托海外归国技术人才, 形成了一批具有一定发展潜力的公司, 如上海中微半导体等, 这些公司带动了关键设备领域的研发, 也带动了周边江苏 浙江等一批封装测试设备和材料企业的发展 北京主要依托国有大型企业与科研院的力量, 对关键技术进行攻关, 已取得了一定突破, 如七星电子 北方微 沈阳地区作为老工业基地, 具备设备制造所需要的机械加工生产技术优势, 也成功得研发了不少工艺设备及关键零部件, 主要代表单位有沈阳芯源微电子设备有限公司 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 30 30

31 深圳代表地区则以其电子加工制造技术为基础, 催生了一批配套加工设备供应商, 如深圳市 普玛斯精密组件有限公司 七星电子北京七星华创电子股份有限公司是由北京七星华电科技集团有限责任公司整合, 前身是原 700 厂 706 厂 707 厂 718 厂 797 厂 798 厂六家国家骨干电子企业, 于 2001 年发起设立, 于 2010 年 3 月在深圳中小板上市 公司主要产品为半导体集成电路设备和特种电子元器件 七星电子一直承担国家大型集成电路重点设备的科技攻关任务, 技术实力强, 产品种类全 在集成电路领域, 公司的设备类产品包括 CVD 清洗机 立式氧化炉, 气体流量控制器等 公司先后承担了 12 英寸 90/65nm 立式氧化炉 质量流量控制器 65nm 超精细清洗设备 12 英寸硅片立式高温退火炉 22-45nm LPCVD( 低压化学气相沉积设备 ) 以及 14-20nm ALD ( 原子气相沉积系统 ) 重大专项研发项目 七星电子的 12 英寸立式氧化炉已通过生产线的验证, 进入产业化阶段, 工艺技术涵盖 90-28nm, 已实现销售 10 台 ( 包括中芯国际 B2 的 2 台 28nm 氧化炉 ) 清洗工艺方面, 公司研发有 45-65nm 铜互连清洗设备和 65nm 超精细清洗设备 公司除了集成电路设备外, 七星电子也研发了太阳能电池设备 TFT 平板显示器设备等产品 2015 年 12 月 26 日, 七星电子拟通过发行股份购买资产的方式收购北方微电子, 同时向国家集成电路基金 京国瑞基金和芯动能基金募集 9.3 亿元 北方微电子北京北方微电子基地设备工艺研究中心有限责任公司成立于 2001 年, 由北京电子控股有限责任公司 北京七星华电科技集团有限责任公司和中国科学院微电子中心共同设立 北方微电子以高端装备为主业, 重点发展刻蚀设备 (Etch) 物理气相沉积设备(PVD) 和化学气相沉积设备 (CVD) 三大类集成电路设备 集成电路设备领域, 产品包括 8 12 英寸刻蚀机 12 英寸硬掩模 PVD 铜互连 PVD 先进封装领域, 产品有 8 12 英寸 TSV 刻蚀机和 TSVPVD 刻蚀方面,12 英寸 55nm 刻蚀机已进入中芯国际生产线 ;28nm 刻蚀机也已供货中芯国际和上海华力微电子 ; 研发的 14nm 刻蚀机也已完成了工程样机整体设计, 并将进入大生产线测试 薄膜沉积设备领域,28nm PVD 于 2015 年上半年被中芯国际北京厂指定为 28nm 制程客户片机台 北方微电子自主研发的 Al Pad PVD 目前正被用于武汉新芯先进存储器芯片生产线上关键的工艺制程 中微半导体上海中微半导体 (AMEC) 是由出身于 Applied Materials 的技术骨干加上 VC 于 2004 年成立的, 产品是 12 英寸生产线的刻蚀设备和 CVD 沉积设备 中微先后成功从三星, 高通, 高盛等多家公司 投资机构处获得了巨额融资 中微半导体代表着中国半导体设备最高水准 2014 年, 中微对中国半导体前道制造和后道封装设备的总出口 以及高端关键设备总出口的贡献度分别高达 75% 和 95% 中微的刻蚀设备 Primo AD-RIE 已被客户用于 16nm 关键刻蚀工艺芯片的大批量生产, 并被核准用于 3D VNAND 快闪存储器芯片的试生产线上 双台刻蚀设备的 SSC Primo AD-RIE 也在先进的 10nm 逻辑芯片研发线核准使用 就交付量而言, 刻蚀机约占中微所有交付机台谨请参阅尾页重要声明及华泰证券股票和行业评级标准 31 31

32 的三分之二 随着在介质刻蚀领域市场地位增强, 中微将其刻蚀专长应用于亟需新一代硅通孔刻蚀技术的 MEMS 和其他封装应用领域 2012 年, 中微发布了 Primo TSV200E 硅通孔刻蚀设备, 包括加工 8 英寸和 12 英寸晶圆的设备 中硅通孔刻蚀设备拥有卓越的设计和工艺加工能力, 在先进 MEMS 器件的生产上具有优异的刻蚀性能, 有效降低了客户的生产成本 中微硅通孔刻蚀设备已在国内市场占据主导地位 截止 2015 年 7 月 10 日, 中微设备交付量突破 400 台 这 400 台已在 33 条客户生产线上投入运行, 包括电介质刻蚀设备 硅通孔刻蚀设备 中微的整合器件制造商和晶圆代工厂客户遍布中国大陆 中国台湾 新加坡 日本 韩国及俄罗斯等国家和地区, 中微的设备被用于前沿芯片的加工制造, 包括关键刻蚀工艺应用达到 1X 纳米 上海微电子装备上海微电子装备有限公司 (SMEE) 成立于 2002 年, 已经实现 IC 前道制造,IC 后道封装 MEMS 制造 AMOLED 显示屏 LED 制造等投影光刻机设备, 能够覆盖前道 IC 制造 90nm 节点以上大规模生产所需不同分辨率节点要求的步进扫投影机, 并可以兼容 8 英寸和 12 英寸硅片 中微半导体自主研发的 12 英寸甚高频去耦合反应离子刻蚀设备, 可以用于加工 64/45/28nm 二氧化硅, 氧化硅及低介电系数膜层等不同电介质材料, 还实现了用于 22nm 及以下的刻蚀设备 中电科电子装备中电科电子装备有限公司 ( 以下简称 公司 ) 成立于 2013 年, 是在中国电子科技集团公司 2 所 45 所 48 所基础上组建成立的二级成员单位, 属中国电子科技集团公司独资公司, 注册资金 21 亿元, 注册地为北京市丰台科技园 公司是我国以集成电路制造装备 新型平板显示装备 光伏新能源装备以及太阳能光伏产业为主的科研生产骨干单位 多年来, 利用自身雄厚的科研技术和人才优势, 形成了以光刻机 平坦化装备 (CMP) 离子注入机 电化学沉积设备 (ECD) 等为代表的微电子工艺设备研究开发与生产制造体系, 涵盖材料加工 芯片制造 先进封装和测试检测等多个领域 上海新阳上海新阳与硅密四新合资提供湿法工艺支持以及设备服务, 切入封装设备领域, 注册资本 2,000 万, 新阳占 45% 硅密四新背后有美系技术支持, 项目首期投资 1 亿元建设每年 24 台设备的生产线, 资金来源由原股东按比例认购, 成为国内首家湿法设备供应商 目标实现五年累计实现税后净利润 9525 万元人民币, 其中归属于上市公司年均利润 857 万元 沈阳拓荆沈阳拓荆科技有限公司是由中国科学院所属公司和海外技术专家组建的高新技术企业, 公司第一个事业部成立于 2006 年 主营的产品是 4-12 英寸 PECVD 设备, 其中 4-6 英寸 PECVD 设备经 NOVELLUS 授权已在国内实现规模生产 12 英寸 PECVD 设备承担国家科技部 十一五 重大专项自主研发, 经过 5 年的攻坚研发, 已经通过中芯国际的验证, 可以应用在 65-90nm 的生产线上 上海微松上海微松公司于 2010 年创立, 吸引了众多海外技术人才 公司主要致力于研发销售 TSV BGA 等晶圆级封装设备, 其中 BGA 晶圆级植球机达到世界领先水平, 可用于 12 英寸, 是先进封装设备领域的领导者 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 32 32

33 盛美半导体设备盛美半导体设备是王晖博士于 1998 年在美国硅谷创立, 作为 2005 年科教兴市重大专项上海重点引进的企业, 盛美半导体研发的设备有超声波清洗机 铜互连清洗设备, 已接到了海力士 中芯国际 华力微电子 长电先进 华进半导体 晶盟等客户的重复订单, 并通过盛美美国与 Sematech 建立了长期的合作关系 深圳格兰达深圳格兰达科技有限公司从 1995 年的机械加工和自动化装臵起步, 从 2003 年开始研发集成电路设备 公司目前在半导体领域, 开发了逻辑电路测试设备 检测分选机, 晶圆级检测机 晶圆级检测设备与美国一流 IC 测试设备商共同开发, 已经开始进入小规模试用阶段 睿励科学仪器睿励科学仪器 ( 上海 ) 有限公司是于 2005 年创建的合资公司, 主要产品是光学测量设备, 应用范围包括刻蚀 (Etch) 化学气相沉积(CVD) 光刻 (Photolithography) 和化学机械抛光 (CMP) 等工艺段的测量, 能准确的确定半导体制造工艺中的各种薄膜参数和细微变化 ( 如膜厚 折射率 应力等 ) 产品已经进入国内外 12 英寸产线 北京中科信电子装备北京中科信电子装备有限公司于 2003 年 6 月成立, 主要研发生产的集成电路设备有离子注入机 快速退火炉 12 英寸 90-65nm 大角度离子注入机已经研发成功, 并通过生产线验证, 进入产业化阶段 22-45nm 低能大束流离子注入机处于研发认证状态 沈阳芯源沈阳芯源微电子设备有限公司成立于 2002 年, 是由中科院沈阳自动化研究所创建的国家高新技术企业 主要产品是半导体设备 品在匀胶显影技术领域居国内第一, 达到国际先进水平 公司还自主开发了涂胶机 显影机 喷胶机 去胶机 湿法刻蚀机 单片清洗机 擦片机等产品, 已形成完整的技术体系和丰富的产品系列, 可根据用户的工艺要求量身定制 产品适应不同工艺等级的客户要求, 广泛应用于半导体生产 先进封装 MEMS LED OLED 3D-IC TSV PV 等领域 可满足 12 英寸前道制程及 12 英寸先进封装厚胶工艺制程 中国科学院微电子研究所中国科学院微电子研究所的前身 原中国科学院 109 厂为满足国家研制晶体管计算机的战略需求, 在 1958 年应运而生 1986 年,109 厂与中国科学院半导体研究所 计算技术研究所有关研制大规模集成电路部分合并为中国科学院微电子中心 2003 年 9 月, 正式更名为中国科学院微电子研究所 有代表性的研发成果有 : 原子层沉积设备和 100nm 高密度等离子刻蚀机 中国科学院光电技术研究所光电所的微电子专用装备是所重点学科之一, 研发光刻机已经近 40 年, 曾经完成我国第一台接近接触光刻机 第一台 g 线投影光刻机 第一台 i 线投影光刻机 第一台 X 射线投影光刻机 无掩模数字光刻机和第一台底面对准双面光刻机 光电所目前的光刻机产品主要有五类 : URE-2000 系列紫外单面光刻机 URE-2000S 系列双面光刻机 URE-2000D 系列大面积光刻机,DS-2000 系列数字无掩模光刻机以及 TYG-2000 系列步进投影 i 线光刻机 光电所光刻机可以广泛用于集成电路 分立元件 光电器件 MEMS 微光学元件 声表器件 传感器等 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 33 33

34 国内设备产业面临的挑战尽管国内半导体设备和材料企业的核心竞争能力实现了新的突破, 但要具有和已经发展了几十年 而且拥有尖端技术和市场人脉的国外知名半导体设备厂商同台竞争的实力, 对于国内设备厂商来说, 还不是一朝一夕能够实现得了的 要想提高国产设备的竞争力, 必须以产业化为目的实现设备的国产化, 一方面要优先使用国内零部件, 大力扶持国内零部件制造商, 完善供应链 ; 另一方面还应重视产学研用的结合, 坚持以企业为主体, 以产业化为目标, 积极推动与大学 研究所的资源整合 由于受制于技术研发难度和对手的诉讼成本, 多数企业偏重封测类设备与度量检测设备 在前道制造关键设备领域, 目前也已经有企业进入布局, 并主要集中在刻蚀和沉积设备, 在前道光刻及电气检测领域仍有待突破 下一步的技术发展目标是在难度较低的封装设备技术基础上向高精度光刻 刻蚀等前道制造设备发展 技术和诉讼成本的壁垒 瓦森纳协定 又称瓦森纳安排机制, 目前共有包括美国 日本 英国 俄罗斯等 40 个成员国 当 瓦森纳安排 某一国家拟向中国出口某项高技术时, 美国甚至直接出面干涉, 如捷克拟向中国出口 无源雷达设备 时, 美便向捷克施加压力, 迫使捷克停止这项交易 瓦森纳协定使得中国半导体设备制造业同国际先进水平差了 1-2 代 随着中国半导体设备产业的逐渐发展, 国际厂商也已经意识到中国厂商的威胁, 通过加强知识产权保护来维护自身的优势地位 十五 期间, 中国申请的光刻机 刻蚀设备 离子注入机专利 70% 都是来自于国际厂商 2007 年底, 中微半导体就遭遇了来自 Applied Materials 的诉讼,Applied Materials 指控中微使用其商业机密开发了设备, 要求停止侵权并赔偿 此外,Applied Materials 宣称拥有中微的 2 项专利, 这项诉讼把 30 名在中微半导体工作的前 Applied Materials 工程师都列为了被告 很显然,Applied Materials 不愿意看到中微半导体在刻蚀机和 CVD 市场上的崛起 这一案件虽然最后中微半导体胜诉, 但对中国半导体设备厂商有警示作用, 中国厂商起步晚, 国际厂商已经成为事实上的标准制定者, 中国厂商在技术上处于不对等的地位, 在发展过程中要注意避开专利壁垒, 加强知识产权保护地位 零组件进口依赖半导体产业的发展过程中, 不能忽视的一个问题是, 中国半导体设备厂商相当一部分的零组件依然依赖进口, 尤其是高端设备 根据院士王阳元 我国集成电路产业发展之路 中的数据, 中微半导体进口零组件在所有零组件中成本比例竟然高达 90%, 其他国产设备进口零件的成本比例也在 20%-50% 除了进口零组件昂贵以外, 厂商还会面临采购周期长, 后期服务困难的问题 零组件问题制约着半导体设备产业的发展 高端人才的缺乏长期以来半导体设备领域高端人才匮乏, 严重影响了芯片制造商对国产设备的信心 高端的半导体研发制造需要数百名工程技术人员, 很多国内集成电路公司多年来一直是从台湾 日本引进人才, 国内缺乏有经验的高端技术人才 未来, 随着国家重视产学研一体化, 重视和大学 研究所的配合, 重视半导体设备行业, 相信高端人才会逐步涌现, 为我国的半导体设备行业添砖加瓦 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 34 34

35 投资建议 集成电路设备产业目前尚处于萌芽期, 但在政策的支持下, 已经开始显露出高增速, 未来几年国内集成电路设备成长可期, 发展前景广阔 建议关注正在并购北方微的行业龙头七星电子, 两家将在技术研发 市场开发上一起合作, 谱写我国国产设备的新篇章 ; 上海新阳作为半导体上游材料的先锋兵, 已开始切入与化学品相关的湿法制程设备的研发中, 建议关注其可能出现的新变化带来的新机会 另外, 设备企业作为上游, 一旦国产设备商业化成功, 下游的晶圆制造商和封测商的成本会极大降低 建议关注半导体行业结构性变化的机会, 如长电科技等 表格 11: 相关标的 公司股价市值 ( 百万 ) 2016 EPS 2016 PE 设备类企业 七星电子 , 上海新阳 , 下游晶圆制造商和封测商 长电科技 , 资料来源 :2016EPS 为万得一致预测, 股价为 4 月 22 日收盘价, 华泰证券研究所 表格 12: 国内厂商各工艺设备市场空间 薄膜工艺 图形化工艺 图形化工艺 热处理工艺 沉积设备 光刻机及配套 清洗机 刻蚀机 平整化设备 高温炉 七星电子 CVD ALD 铜互连清洗设备, 超精细清洗设备 立式氧化炉 北方微电子 PVD CVD 刻蚀设备 上海新阳 湿法设备 全球市场规模百万美元 6,977 7,551 9, 资料来源 : 华泰证券研究所 风险提示 : 集成电路设备研发难度大, 行业整体增速可能不达预期 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 35 35

36 评级说明 免责申明 本报告仅供华泰证券股份有限公司 ( 以下简称 本公司 ) 客户使用 本公司不因接收人收到本报告而视其为客户 本报告基于本公司认为可靠的 已公开的信息编制, 但本公司对该等信息的准确性及完整性 不作任何保证 本报告所载的意见 评估及预测仅反映报告发布当日的观点和判断 在不同时期, 本公司可能会发出与本报告所载意见 评估及预测不一致的研究报告 同时, 本报告 所指的证券或投资标的的价格 价值及投资收入可能会波动 本公司不保证本报告所含信息 保持在最新状态 本公司对本报告所含信息可在不发出通知的情形下做出修改, 投资者应当自行关注相应的更新或修改 本公司力求报告内容客观 公正, 但本报告所载的观点 结论和建议仅供参考, 不构成所述 证券的买卖出价或征价 该等观点 建议并未考虑到个别投资者的具体投资目的 财务状况以及特定需求, 在任何时候均不构成对客户私人投资建议 投资者应当充分考虑自身特定状 况, 并完整理解和使用本报告内容, 不应视本报告为做出投资决策的唯一因素 对依据或者 使用本报告所造成的一切后果, 本公司及作者均不承担任何法律责任 任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺均为无效 本公司及作者在自身所知情的范围内, 与本报告所指的证券或投资标的不存在法律禁止的利 害关系 在法律许可的情况下, 本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券头寸并进行交易, 也可能为之提供或者争取提供投资银行 财务顾问或者金融产品 等相关服务 本公司的资产管理部门 自营部门以及其他投资业务部门可能独立做出与本报 告中的意见或建议不一致的投资决策 本报告版权仅为本公司所有 未经本公司书面许可, 任何机构或个人不得以翻版 复制 发 表 引用或再次分发他人等任何形式侵犯本公司版权 如征得本公司同意进行引用 刊发的, 需在允许的范围内使用, 并注明出处为 华泰证券研究所, 且不得对本报告进行任何有悖原意的引用 删节和修改 本公司保留追究相关责任的权力 所有本报告中使用的商标 服 务标记及标记均为本公司的商标 服务标记及标记 本公司具有中国证监会核准的 证券投资咨询 业务资格, 经营许可证编号为 :Z 版权所有 2016 年华泰证券股份有限公司 行业评级体系 公司评级体系 - 报告发布日后的 6 个月内的行业涨跌幅相对同期的沪深 300 指 - 报告发布日后的 6 个月内的公司涨跌幅相对同期的沪深 300 指数的涨跌幅为基准 ; 数的涨跌幅为基准 ; - 投资建议的评级标准 - 投资建议的评级标准增持行业股票指数超越基准买入股价超越基准 20% 以上中性行业股票指数基本与基准持平增持股价超越基准 5%-20% 减持行业股票指数明显弱于基准中性股价相对基准波动在 -5%~5% 之间减持股价弱于基准 5%-20% 卖出股价弱于基准 20% 以上 华泰证券研究 南京 北京 南京市建邺区江东中路 228 号华泰证券广场 1 号楼 / 邮政编码 : 北京市西城区太平桥大街丰盛胡同 28 号太平洋保险大厦 A 座 18 层 邮政编码 : 电话 : / 传真 : 电话 : / 传真 : 电子邮件 :ht-rd@htsc.com 电子邮件 :ht-rd@htsc.com 深圳 上海 深圳市福田区深南大道 4011 号香港中旅大厦 24 层 / 邮政编码 : 上海市浦东新区东方路 18 号保利广场 E 栋 23 楼 / 邮政编码 : 电话 : / 传真 : 电话 : / 传真 : 电子邮件 :ht-rd@htsc.com 电子邮件 :ht-rd@htsc.com 谨请参阅尾页重要声明及华泰证券股票和行业评级标准 36 36

策略深度报告

策略深度报告 证券研究报告 策略研究 / 策略简评 216 年 7 月 22 日 戴康执业证书编号 :S5751661 研究员 21-28972238 daikang@htsc.com 藕文 21-2897282 联系人 ouwen@htsc.com 相关研究 1 中报预喜带来的超额收益 216.6 下半年解禁压力前低后高 华泰策略专题研究 下半年限售股解禁规模 1519,12 月的解禁压力最大下半年 A 股限售股解禁规模为

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

北京七星华创电子股份有限公司2015年年度报告摘要

北京七星华创电子股份有限公司2015年年度报告摘要 证券代码 :002371 证券简称 : 七星电子公告编号 :2016-019 北京七星华创电子股份有限公司 2015 年年度报告摘要 一 重要提示 本年度报告摘要来自年度报告全文, 为全面了解本公司的经营成果 财务状况及未来发展规划, 投资者应当到证监会指定媒体仔细阅读年度报告全文 董事 监事 高级管理人员异议声明 声明 姓名职务内容和原因 除下列董事外, 其他董事亲自出席了审议本次年报的董事会会议

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7 / / 证券研究报告 七星电子 (002371) 投资价值分析报告 收购北方微电子, 打造国产半导体设备龙头 公司研究 电子行业 2016 年 3 月 21 日买入 ( 首次 ) 投资要点 大基金 助力收购优秀半导体设备公司北方微电子 七星电子是 A 股唯一国产半导体设备公司, 是国内立式氧化炉和晶圆清洗机龙头 公司拟增发 9.24 亿元股票 以 2016 年 15 倍 PE 从母公司北京电控收购国内硅刻蚀

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13 [Table_MainInfo] 行业研究 / 信息设备 / 电子元器件 行业深度报告 证券研究报告 2016 年 09 月 12 日 [Table_InvestInfo] 投资评级增持维持 市场表现 [Table_QuoteInfo] 7681.50 6609.81 5538.11 4466.42 3394.73 电子元器件 2323.04 2015/8 2015/11 2016/2 2016/5

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options)

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) 大连期货市场月报 DALIAN FUTURES MARKET MONTHLY REPORT 市场提要 本月要事 品种运行与价格 交易数据 产业资讯 美国农业部数据 主办 : 大连商品交易所 218 年第 5 期总第 15 期 5 内部资料 妥善保存 月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) CONTENTS 目录 5 月市场提要 1 本月要事

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

01

01 Zebra 技术白皮书 零售业中的可跟踪性 降低 介质成本, 实现最佳价值 概要 简介 我想要的商品在哪里呢? 物品级标签带来了巨大优势 全面的库存管理 100% 2 Zebra (EAS)/ 实际结果 2009 1 27% 21% 53 2 209 提升顾客体验, 提高销售业绩 3 150 100 RF 1. : Bloomingdale 2009 2. 3. ABI Research 2009

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括 同步相量测量装置 网络报文记录分析装置 时间同步装置 通过检测的装置清单如下 : 1. 北京四方继保自动化股份有限公司 1 间隔测控 CSI-200F-DA-1 北京四方继保自动化股份有限公司

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

( ) A 1, [][] 6,500 [2009]

( ) A 1, [][] 6,500 [2009] 1 66 4 1-1-1 ( ) A 1,656 1 2009 [][] 6,500 [2009]94 2009 11 16 1-1-2 1-1-3 1 [2009]94 [2009]223 A 1,656 10% 165.60 2. 4,844 1,656 A 6,500 [2009]94 3 2008 2008 2009 2009 6 30 18,885.28 4 (1) 1-1-4 8 12

More information

中国与欧洲关系 年

中国与欧洲关系 年 中国与欧洲关系 年 周 弘 本文全面回顾了中国与欧洲共同体 欧洲联盟及其成员国 年至 年间政治和经济关系的发展历程 分析了当前中欧关系取得的进展和存在的问题 作者认为 年来中国与欧洲关系的发展变化见证并体现了世界格局的演变以及中国和欧洲这两大很不相同的世界力量自身的发展变化 中欧之间不断深入和拓展的交往使双方都从中获益 而这种交往所创造出来的体制机制和方式方法也堪为人先 中欧关系能否在第二个 年中顺利发展

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月 Tabl e_title Tabl e_baseinfo 2015 年 12 月 27 日 七星电子 (002371.SZ) 半导体究竟什么最赚钱 公告 :1 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权 发行股份价格为 17.49 元 / 股 2 上市公司拟通过向国家集成电路基 金 京国瑞基金和芯动能基金非公开发行股份募集配套资金 点评 : 我们在 14 年年中推出行业深度报告

More information

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor Certificate of Registration 质量管理体系 IATF 16949:2016 兹证明 : 中国上海中国 ( 上海 ) 自由贸易试验区哈雷路 288 号邮编 : Huahong Grace Semiconductor No. 288 Halei Road () Pilot Free Trade Zone 并运行符合 IATF 16949:2016 要求的质量管理体系, 认证范围如下

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半 218 年 4 月 1 日中小盘研究 半导体研究系列之二 ( 设备 ): 星星之火, 燎原之势渐起 中小盘伐谋主题伐谋 - 中小盘主题报告 孙金钜 ( 分析师 ) 吴吉森 ( 联系人 ) 21-68866881 sunjinju@xsdzq.cn 证书编号 :S2851812 21-68865595 wujisen@xsdzq.cn 国内半导体迎来新投资周期, 半导体设备市场持续向好 : 当前我国集成电路产品对外依存度较高,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor - TS

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor - TS Huahong Grace Semiconductor No. 288 Halei Road Zhangjiang High-Tech Park () Pilot Free Trade Zone 注册地址 : 中国 ( 上海 ) 自由贸易试验区祖冲之路 1399 号中国上海中国 ( 上海 ) 自由贸易试验区张江高科技园区哈雷路 288 号邮编 : Holds Certificate No: TS 613185-000

More information

¹ º» ¹ º»

¹ º» ¹ º» 中国获取全球石油资源的战略选择 孙学峰 王海滨 大国获得海外石油权益的基本目标是希望能够顺利进入 分 享石油资源 理想目标则是能够逐步稳定 扩大既有的石油开采权益 大国 分享石油资源的关键在于能否有效降低竞争对手 包括先进入国家和其他后 进入国家 的抵制 争取资源拥有国的支持 从中国能源外交的实践来看 有 限分流是成功分享海外石油权益最为重要的战略 而有效化解竞争对手干扰的 主要策略包括限制收益和借助矛盾

More information

nm 8 nm nm nm nm 8 nm 8 IC IC

nm 8 nm nm nm nm 8 nm 8 IC IC 100 100nm 8 nm 8 130 130-100 100nm nm 6 147 147 135 135 6 103 103 54 54 9 45 45 7 100 100nm 8 nm 8 IC IC 5 60 60 40 40 3500 3500 5 1600 1600mm mm X/Y/Z X/Y/Z 2200/400/400 2200/400/400mm mm 15 15m/min m/min

More information

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63>

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63> 上海工业自动化仪表研究所 上海西派埃温度仪表公司 前言 上海西派埃温度仪表公司系上海工业自动化仪表研究所温度测量仪表部创建的高科技型经济实体, 为温度仪表试验设备与温度控制系统专业生产单位 上海工业自动化仪表研究所温度测量仪表部从事温度测量仪表 温度仪表试验设备及温度控制系统的研究开发已有 40 多年历史, 不仅具有一支在研究开发 生产制造各类温度仪表 试验设备都极具丰富经验的技术队伍, 而且有国内外先进的试验条件与测试装备,

More information

幻灯片 1

幻灯片 1 新机遇, 新挑战, 新格局 ---- 加快半导体设备的本地化制造 张国铭 北京七星华创电子股份有限公司 北京电子制造装备行业协会 2015 年 3 月 18 日 目录 一 国际半导体产业的发展趋势 二 国产装备产业的机遇与挑战 三 七星华创的技术创新概况 销售额 ( 亿美元 ) 一 国际半导体产业的发展趋势 1 产业概况 全球半导体产业稳步增长 : 据 Gartner 统计,2014 年全球半导体总营收为

More information

公司研究报告

公司研究报告 证券研究报告 公司研究 / 季报点评 2015 年 10 月 26 日传媒 / 传媒 Ⅱ 投资评级 : 买入 ( 维持评级 ) 当前价格 ( 元 ): 52.20 合理价格区间 ( 元 ): 55~60 王禹媚执业证书编号 :S0570515030004 研究员 010-63211166 wangyumei@htsc.com 相关研究 1 东方财富 (300059): 存量 收益成下半年看点, 期待互联网券商

More information

行业研究报告_有重点公司

行业研究报告_有重点公司 证券研究报告 行业研究 / 深度研究 2016 年 05 月 31 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 电子元器件 : 日月光矽品订婚,LED 价格理性回归 2016.05 2 上海新阳 (300236): 大基金力挺硅片国产化新阳再获增资

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材 证券研究报告 行业专题报告 信息技术 电子 推荐 ( 维持 ) 半导体设备和材料的国产化机遇 2016 年 05 月 24 日半导体行业深度专题之五 上证指数 2844 行业规模 占比 % 股票家数 ( 只 ) 164 5.8 总市值 ( 亿元 ) 17929 4.2 流通市值 ( 亿元 ) 12564 3.7 行业指数 % 1m 6m 12m 绝对表现 -6.2-15.9-22.2 相对表现 -3.0

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 半导体核心装备龙头迎行业上升良机 北方华创 (002371) 深度报告 报告摘要 : 我们认为未来有三重机遇确保国内半导体迎来发展良机 半导体市场向国内转移 2017 年国内半导体市场销售额为 1315 亿美元, 占全球总销售额的 31.9%, 国内销售额同比增长 22.2%, 高于全球半导 体销售额的 21.6%, 未来几年这种市场转移趋势将持续 ; 半导体制造技术国内得到长足进步

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

<4D6963726F736F667420506F776572506F696E74202D20A5FAB971A562BEC9C5E9BB73B57BB35DB3C6A4B6B2D0>

<4D6963726F736F667420506F776572506F696E74202D20A5FAB971A562BEC9C5E9BB73B57BB35DB3C6A4B6B2D0> 光 電 半 導 體 製 程 設 備 介 紹 電 子 光 子 能 階 升 授 課 老 師 : 林 彥 勝 博 士 夸 克 原 子 核 E-mail: yslin@mail.cna.edu.tw 能 階 降 Content 潔 淨 室 (Cleaning Room) 薄 膜 沈 積 (Thin Film Deposition) 化 學 汽 相 沉 積 法 MOCVD ( Metal Organic Chemical

More information

GE VB2-12 组装极柱真空断路器 引领电气化未来

GE VB2-12 组装极柱真空断路器 引领电气化未来 GE VB-1 组装极柱真空断路器 引领电气化未来 GE GE GE 170 GE 1878 189 GEGE 1896 GE 8 1906 GE 1908 GE 193 GE 01 5 GE GE 18,000 50 013 GE 70 GE GE 013 010 013 01 BARRON S 01 Interbrand 010 007 R&D 90 90 余年的开断经验 GE 在 190 年率先开始进行真空灭弧室实验,

More information

untitled

untitled ... 1... 1... 3... 4... 6... 6... 6... 8... 8... 9... 10... 12... 12... 12... 14... 14... 15... 15... 15... 16... 18... 18... 18... 20... 22... 23 I ... 25... 26... 27... 28... 30... 30... 31... 33...

More information

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化 2015 年上海工程技术大学本科分数线查询 学院 湖南辽宁新疆 最高分最低分一本线二本线最高分最低分一本线二本线最高分最低分一本线二本线 机械工程 468 458 446 381 能源与环境系统工程 504 501 500 419 449 444 446 381 机械设计制造及其自动化 ( 现代装备与控制工程 ) 计算机科学与技术 自动化 535 534 526 455 475 449 446 381

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 泛半导体产业的 卖铲人 七星电子 (002371) 深度报告 报告摘要 : 晶圆厂建设浪潮直接受益者 下游扩产引发半导体设备需求爆发, 预计在 2017 年上半年开始, 设备行业逐步进入订单爆发期 十三五期间, 半导体设备国产化率将提升至 30% 以上, 七星电子是集成电路领域氧化炉 清洗机 刻蚀机 PVD 等设备的唯一国内供应商, 是国内厂商蛋糕份额提高的直接受益者

More information

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36

2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36 2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36 目 录 前言 第 1 篇 政策篇 1 一 国家有关部门制定相关规划 引导生物科技与产业快速发展与合理布局 3 二 地方政府积极响应 纷纷出台生物医药技术与产业发展规划 突出区域优势和特色 7 三 积极制定政策措施

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

股票简称:七星电子 股票代码:002371

股票简称:七星电子      股票代码:002371 股票简称 : 七星电子股票代码 :002371 上市地点 : 深圳证券交易所 北京七星华创电子股份有限公司关于 发行股份购买资产并募集配套资金暨关联 交易之 独立财务顾问 二零一六年五月 北京七星华创电子股份有限公司关于 发行股份购买资产并募集配套资金暨关联交易之 中国证券监督管理委员会 : 2016 年 5 月 18 日, 贵会发布了 并购重组委 2016 年第 35 次会议审核结果公告, 就北京七星华创电子股份有限公司

More information

2011_中国私人财富报告_PDF版

2011_中国私人财富报告_PDF版 211 l l l l l l l l l l 1 l l l l l 2 3 4 5 6 8 6 52 62 72 CAGR ( 8-9) 39% 16% 16% 9% 13% 16% CAGR (9-1) 19% 49% 44% 17% 26% 17% CAGR ( 1-11E ) 16% 28% 27% 26% 2% 18% 4 38 63% 21% 7% 2 19% 16% 16% 28 29

More information

6 公司始终保持很高的研发投入比例, 加之基础优势, 其行业的比较优势逐步被深化和强调, 集成电路设备和军工领域的技术和市场高门槛属性, 给予公司较大的健康发展空间 公司主营业务, 主要包括集成电路设备, 电子元器件和锂电池设备等三个部分都已经形成突出的行业比较优势 7 我们认为, 公司技术实力较强

6 公司始终保持很高的研发投入比例, 加之基础优势, 其行业的比较优势逐步被深化和强调, 集成电路设备和军工领域的技术和市场高门槛属性, 给予公司较大的健康发展空间 公司主营业务, 主要包括集成电路设备, 电子元器件和锂电池设备等三个部分都已经形成突出的行业比较优势 7 我们认为, 公司技术实力较强 半导体产业大潮起, 设备龙头乘风来 方正证券研究所证券研究报告 七星电子 (002371) 电子行业 公司研究 公司深度报告 2016.05.30/ 强烈推荐 ( 首次 ) 首席分析师 : 段迎晟执业证书编号 : S1220514060002 TEL: E-mail duanyingsheng@founders 联系人 : 侯宾, 杨洋, 章书勤 TEL: 010-68584892 E-mail:

More information

晨会纪要

晨会纪要 公司研究 深度 / 调研报告 21 年 3 月 29 日 电子专用设备行业七星电子 (2371) 评级 : 增持 电子行业研究员 李志中 1-888683-675 lizz@rxzq.com.cn 七星电子与沪深 3 指数走势对比图 15% 1% 5% % -5% -1% 1-3 1-3 1-3 1-3 1-3 1-3 1-3 1-3 成交金额七星电子沪深 3 市场数据 :21 年 3 月 29 日

More information

东吴证券研究所

东吴证券研究所 证券研究报告 行业研究 食品饮料行业 食品饮料周报 + ( ) : 3.8% 1% 1.7% 17 : 1 1 14 217 4 16 S651592 mahb@dwzq.com.cn 21-6199762 1 688716 2 217413 17Q1 2 + 15%+18%+ 17Q1 + 217411 15%+35%+ 3 6872 2 + +3% 1 217411 2 4 6327 + 217411

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 砥砺前行, 开启国产离子注入机新篇章 曾晓斌 北京中科信 2018 年 03 月 16 日 目 录 一 新形势下离子注入机发展需求二 国产离子注入机发展现状三 新一代中束流离子注入机四 发展规划 1 集成电路产业作为国民经济和社会发展的战略性 基础性 先导性产 业, 关乎国家核心竞争力和国家安全 集成电路装备作为构筑集成电路产业的基石, 是核心竞争力的重要组 成部分 中国集成电路产业进入新时代 习近平中国特色社会主义新时代,

More information

欧盟与欧盟属下的民族国家 刘 泓 本文试图从民族学与人类学的角度审视欧洲人从分散走向联合的步伐 剖析作为新型人们共同体的欧盟的权力 属性与组织功能 阐释欧盟属下民族国家的发展状况 研讨人们共同体的未来发展方向 文章认为 建立欧盟是欧洲人的一种理性选择 欧盟是一个兼有超国家政治成分和政府间政治成分的区域性人们共同体 欧盟的超国家机构服务于跨国社会的利益 致力于超国家的治理 其成员国政府的长期利益将日益屈服于跨国社会的长期利益

More information

气溶胶光学厚度 的测量原理 Ê

气溶胶光学厚度 的测量原理 Ê 肖钟湧 江洪 余树全 周国模 陈然 宋晓东 焦荔 洪盛茂 常杰 江波 南京大学国际地球系统科学研究所 南京 浙江林学院国际空间生态与生态系统生态研究中心 杭州 杭州市环境监测总站 杭州 浙江大学生命科学学院 杭州 浙江省林业科学研究院 杭州 气溶胶光学厚度 的测量原理 Ê 仪器和观测 实验场地 数据处理 气溶胶光学厚度的高光谱反演 浑浊度系数 波长指数 Ê Ê 精度检验 气溶胶光学厚度 浑浊度系数

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

公司研究报告

公司研究报告 公司研究 / 中报点评 2012 年 08 月 31 日黑色金属 / 钢铁 Ⅱ 投资评级 : 中性 ( 增持评级 ) 当前价格 ( 元 ): 4.52 合理价格区间 ( 元 ): 4.00~6.00 研究员 : 赵湘鄂执业证书编号 :S0570512070020 电话 : (021)6849 8628 E-MAIL:zhaoxiange@mail.htlhsc.com.cn 研究员 : 陈雳执业证书编号

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排 机械原理包装工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理包装工程 2016 上课 16 4 9-12 A-202 汪成龙 机械原理 2015 上课 16 4 9-12 A-202 汪成龙 机械原理机械电子工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理 机械原理 机械原理 机械原理 电路原理 电路原理 电路原理 2015 上课 16 4 9-12 A-202

More information

鱼虾蟹!鱼虾蟹游戏 H5手机小游戏系统源码开发定制

鱼虾蟹!鱼虾蟹游戏 H5手机小游戏系统源码开发定制 鱼虾蟹! 鱼虾蟹游戏 H5 手机小游戏系统源码开发定制 鱼虾蟹规则 http://basedz.com 鱼虾蟹! 鱼虾蟹游戏 H5 手机小游戏系统源码开发定制 来塑造大型软件公司的辉煌形象! 来塑造大型软件公司的辉煌形象! http://basedz.com/?p=637 骏飞掌上智慧智能科技 H5 软件 APP 游戏开发定制微信 :ffy990 常州掌上智慧智能科技有限公司成 2012 年 8 月,

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉 江 苏 长 电 科 技 股 份 有 限 公 司 2013 年 度 非 公 开 发 行 A 股 股 票 募 集 资 金 使 用 的 可 行 性 分 析 报 告 为 了 进 一 步 提 升 江 苏 长 电 科 技 股 份 有 限 公 司 ( 以 下 简 称 公 司 ) 主 营 业 务 盈 利 能 力, 优 化 公 司 产 品 结 构, 改 善 公 司 财 务 状 况, 公 司 拟 向 不 超 过 10

More information

中国社会科学 年第 期,,. % 1,,,. %,. % 2,, %, ;,,,, 3,,,, 4 ( ) ( ) ( ) (),, %, 5,,,,,,,,, 1 :,, ://.. / / - / /., 2 :,, 3 :, 4,,, 5 ( ),,, ( ),, ( ), ( ), ( );

中国社会科学 年第 期,,. % 1,,,. %,. % 2,, %, ;,,,, 3,,,, 4 ( ) ( ) ( ) (),, %, 5,,,,,,,,, 1 :,, ://.. / / - / /., 2 :,, 3 :, 4,,, 5 ( ),,, ( ),, ( ), ( ), ( ); 近年来农民工的经济状况和社会态度 李培林李炜 : 改革开放 年来, 农民工作为中国产业工人的组成部分, 成为支撑中国经济持续快速增长的重要力量 基于 年和 年 中国社会状况综合调查 的数据, 对近年来 特别是在国际金融危机背景下农民工的经济状况和社会态度进行分析, 结果显示, 年数据反映出农民工在收入水平较低 劳动强度较高的情况下, 却保持着较为积极的社会态度 ; 近两年的新变化是, 农民工的收入水平和社会保障水平都有了显著的提高,

More information

江南大学硕士学位论文图像式刀具预调仪测控系统的设计姓名 : 王诣申请学位级别 : 硕士专业 : 机械制造及自动化指导教师 : 张秋菊 ; 尤丽华 20080601 图像式刀具预调仪测控系统的设计 作者 : 王诣 学位授予单位 : 江南大学 本文读者也读过

More information

石油炼化会后刊邮件版

石油炼化会后刊邮件版 2015 中国 ( 广饶 ) 2015 CHINA(GUANGRAO) INTERNATIONAL REFINING & PETROCHEMICAL EXPO 2015 中国 ( 广饶 ) 01/02 专刊 展会简介 展示范围 : 2015 中国 ( 广饶 ) 03/04 专刊 展会背景 2015 中国 ( 广饶 ) 05/06 专刊 领导致辞 2015 中国 ( 广饶 ) 07/08 专刊 领导视察及展会现场实况

More information

第 期 甘金华等 强力霉素人工抗原的合成与抗体制备 材料与方法 试剂及溶液

第 期 甘金华等 强力霉素人工抗原的合成与抗体制备 材料与方法 试剂及溶液 第 卷第 期 年 月 食品与生物技术学报 强力霉素人工抗原的合成与抗体制备 甘金华 邓薇 李进平 艾晓辉 中国水产科学研究院长江水产研究所 湖北荆州 武汉纺织大学环境与城建学院 湖北武汉 采用改进的碳二亚胺两步法将强力霉素半抗原与载体蛋白 连接制备强力霉素 牛血清白蛋白 人工免疫抗原 并用同样方法将强力霉素与载体蛋白 连接制备人强力霉素 卵清白蛋白 人工包被抗原 经紫外扫描分析和动物免疫试验证实 强力霉素人工抗原合成成功

More information

成果册

成果册 主要研究人员介绍 酒同钢 博士 副研究员 硕士生导师 2001年毕业于兰州大学 学士学位 2006年7月毕业于中国科学院化学研究所有机固体 组 获 博 士 学 位 2007年 前 往 法 国 原 子 能 研 究 所 (CEA-Grenoble)从 事 博 士 后 研 究 2008年11月获得荷兰物质基础研究基金支持 加入埃因霍温理工大学Rene Janssen研究 组从事雪崩效应太阳能电池研究 2010年7月前往加拿大阿尔伯塔大学及加拿大国家纳米研

More information

Sector ― Subsector

Sector ― Subsector 机械设备 证券研究报告 板块最新信息 增持 公司名称 股票代码 收盘价 评级 北方华创 002371.CH 37.45 买入 晶盛机电 300316.CH 20.92 买入 长川科技 300604.CH 56.65 买入 至纯科技 603690.CH 19.49 买入 资料来源 : 万得, 中银证券以 2017 年 12 月 19 日当地货币收市价为标准 主要催化剂 / 事件 下游中芯国际等厂商技术持续突破

More information

天津大学硕士学位论文网络数控切管系统关键技术研究姓名 : 谢新房申请学位级别 : 硕士专业 : 机械电子工程指导教师 : 王国栋 20070101 网络数控切管系统关键技术研究 作者 : 谢新房 学位授予单位 : 天津大学 本文读者也读过 (10 条 ) 1. 王颖博导管架节点计算机绘图数学模型及程序设计

More information

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9>

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9> P/N: 品名 DY-U-001:UL80 105 PVC 电线绝缘料 ( 通用型 ) 适用于 标准额定耐温等级 80 105 的 PVC 电线绝缘材 料 ( 绝缘厚度大于 0.76MM, 导体截面积小于 20AWG 规格的电子线请选 择 DY-U-008 专用型 ) 产品符合欧盟 ROHS 2.0 REACH 等环保要求 Volume resistivoty 体积电阻率 Ω.m 1.0 10 11

More information

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年 218 年 4 月 25 日北方华创 (2371.SZ) 电子 / 半导体 国内高端半导体装备领跑者, 行业景气助力高增长 北方华创首次覆盖报告首次覆盖报告 郭泰 ( 分析师 ) 陈皓 ( 联系人 ) 吴吉森 ( 联系人 ) 1-83561 guotai@xsdzq.cn 证书编号 :S2851814 1-83561 chenhao1@xsdzq.cn 证书编号 :S2811848 证书编号 :S7411687

More information

IC LED / 2

IC LED / 2 5/3/2010 1 IC LED / 2 3 () (IC/LED) // // // ( : ) // 4 2008 :8,532 :5,224 16,052 472,531 >1002% 30>>10018%

More information

图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成

图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成 文 / 兴泰资本杨淼 刘杨 一 集成电路产业概述集成电路也称为集成块 芯片, 在我国港台地区称为积体电路, 它的英文全称是 Integrated Circuit, 缩写为 IC, 是半导体行业的重要组成部分,2017 年全球份额占比高达 83% 集成电路是将晶体管 二极管等有源元件和电阻器 电容器等无源元件, 采用一定的工艺, 按照一定的电路互联, 集成在一块半导体单晶片上, 后封装在一个管壳内,

More information

公司研究报告

公司研究报告 公司研究 / 中报点评 212 年 8 月 15 日黑色金属 / 钢铁 Ⅱ 投资评级 : 买入 ( 维持评级 ) 当前价格 ( 元 ): 8.46 合理价格区间 ( 元 ): 研究员 : 赵湘鄂执业证书编号 :S5751272 电话 : (21)6849 8628 E-MAIL:zhaoxiange@mail.htlhsc.com.cn HTTP://Z.ZHANGLE.COM 研究员 : 陈雳执业证书编号

More information

太阳能应用

太阳能应用 为何选择 Entegris?...3 Entegris 在太阳能应用方面...6 Entegris 在 c- 硅制造方面的能力...7...8...9 /...10...11...12 Entegris 在薄膜制造方面的能力...13...14...15...16...17...18...19 www.pvprocesssolutions.com 2 ENTEGRIS, INC. Entegris?

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2

2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2 上海市机器人行业协会信息 2016 年第 4 期 ( 总第 12 期 ) 机器人产业发展规划 (2016-2020 年 ) 解读 1. 发展现状 1 2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2 4. 需求在哪, 机会就在哪 5. 领头品类是工业生产和公共服务 3 4 6. 在这些行业主力推广使用 7. 五类关键零部件 5 8. 创新平台, 标准体系, 检测认证, 一个都不能少 9.

More information

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%,

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%, 半导体设备稀缺平台, 格局优势凸显战略位置 68 方正证券研究所证券研究报告 TMT 首席分析师 : 段迎晟执业证书编号 :S1220514060002 E-mail:duanyingsheng@foundersc.com 七星电子 (002371) 公司投资价值分析报告 2015.03.02 推荐 半导体行业 联系人 : 孙远峰 Email:sunyuanfeng@foundersc.com 分析师

More information

Slide 1

Slide 1 新形势下集成电路产业投资策略观察 刘晓宇,, 13681972568 Email: fisher.liu@163.com Mobile: 136 8197 2568 仅供参考, 不构成投资建议 半导体市场应用分布 2014 销售额 :3250 亿美元 ( 十亿美元 ) 2014 销售额 :3250 亿美元 ( 十亿美元 ) 8.7 19.5 29.9 43.7 59.2 92.0 72.1 逻辑芯片存储器处理器模拟电路光电器件传感器分立器件

More information

公司研究报告

公司研究报告 证券研究报告 公司研究 / 首次覆盖 2017 年 05 月 11 日机械设备 / 专用设备 Ⅱ 投资评级 : 买入 ( 首次评级 ) 当前价格 ( 元 ): 48.48 合理价格区间 ( 元 ): 60~65 章诚 执业证书编号 :S0570515020001 研究员 021-28972071 zhangcheng@htsc.com 张騄 执业证书编号 :S0570515060001 研究员 021-28972073

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

D4

D4 4 020 Application Trend and Fabrication Introduction of 3D Integrated Circuits Through Silicon Vias Technology Abstract The three-dimensional integrated circuits through silicon vias (3D IC TSV) technology

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

2009 年第 6 期 高清总动员 35

2009 年第 6 期 高清总动员 35 要说 08 年最成功的高清机, 非三合一 F1/F2 莫属 它集中了国内不同的高清接收需求, 整合了当时能想到的各种功能, 为欣赏高清奥运, 满足高端发烧人士, 做出了贡献 F1/F2 的成功, 说明不依赖进口, 我们也有能力打造顶级的高清机, 并且更适合国内的使用习惯 不过, 即使 F1/F2 的终极版, 也不兼容 ABS-S 或 ISDB-S, 没有网络功能, 不能 USB 录像等等, 有一定的局限性

More information

产 ( 元 ) (2) 前 10 名普通股股东持股情况表 报告期末普通股股东总数 6,515 年度报告披露日前第 5 个交易日末普通股股东总数 前 10 名普通股股东持股情况 股东名称股东性质持股比例持股数量持有有限售条件的股份数量 北京七星华电科技集团有限责任公司 北京硅元科电微电子技术有限责任公

产 ( 元 ) (2) 前 10 名普通股股东持股情况表 报告期末普通股股东总数 6,515 年度报告披露日前第 5 个交易日末普通股股东总数 前 10 名普通股股东持股情况 股东名称股东性质持股比例持股数量持有有限售条件的股份数量 北京七星华电科技集团有限责任公司 北京硅元科电微电子技术有限责任公 证券代码 :002371 证券简称 : 七星电子公告编号 :2015-005 北京七星华创电子股份有限公司 2014 年年度报告摘要 1 重要提示 本年度报告摘要来自年度报告全文, 投资者欲了解详细内容, 应当仔细阅读同时刊载于深圳证券交易所网站等中国证监会指定网站上的年度报告全文 公司简介 股票简称七星电子股票代码 002371 股票上市交易所 深圳证券交易所 联系人和联系方式董事会秘书证券事务代表

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 缺考 英语一 缺考 数学三 缺考 思想政治理论 60 英语一 78 数学三

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 缺考 英语一 缺考 数学三 缺考 思想政治理论 60 英语一 78 数学三 100019000470001 思想政治理论 英语一 数学三 100019000470002 思想政治理论 60 英语一 78 数学三 119 100019000470003 思想政治理论 61 英语一 72 数学三 121 100019000470004 思想政治理论 62 英语一 82 数学三 108 100019000470005 思想政治理论 英语一 数学三 100019000470006

More information

Microsoft Word _ doc

Microsoft Word _ doc 股票研究新股询价定价分析电子元器件 / 信息科技 七星电子 (002371) 国产集成电路制造设备主要提供商 魏兴耘 张慧 0755-23976213 021-38676715 weixy1@gtjas.com zhanghui6820@gtjas. 本报告导读 : 公司是国产集成电路制造设备主要提供商, 在军用混合集成电路和高密度阻容元件领 域具有优势投资要点 : 公司主要产品为大规模集成电路制造设备

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information