行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

Size: px
Start display at page:

Download "行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行."

Transcription

1 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移, 由美国到日本再到韩国 台湾, 而 2016 年底中国晶圆产能占比 11%, 是全球增长最快的地区 我们认为随着半导体制造技术和成本的变化, 半导体产业正在经历第三次产能转移, 行业需求中心和产能中心逐步向中国大陆转移 半导体制造属于重资产投入, 晶圆产线扩张带动设备景气上行半导体产业属于重资产投入, 具有技术含量高 设备价值高等特点, 因此下游产业的发展衍生出了巨大的设备投资市场 从生产工艺来看, 半导体制造过程可以分为 IC 设计 制造和封装与测试环节 设备主要针对制造及测封环节, 设计部分的占比较少 近几年随着大陆半导体消费的快速增长, 国内外厂商也加速在中国的资本投资, 大陆晶圆生产线建设进入了新一轮发展浪潮 目前正在或宣布兴建的 12 英寸晶圆生产线共有 20 条,8 英寸产线在建或扩建 5 条 IC 产品生产附加值极高, 工艺进步依托于设备提升 持续的产能转移不仅带动了国内集成电路整体产业规模和技术水平的提高, 也为集成电路装备制造业提供了巨大的市场空间 投资浪潮向设备端传导, 国内半导体设备企业有望分享行业投资红利全球半导体设备企业主要集中于美国和日本, 以应用材料 ASML 等为代表的企业占据了主要份额 目前, 国家推行一系列政策扶持半导体产业发展, 成立的大基金初期规模为 1400 亿元, 在上中下游布局的企业数量众多, 涵盖了 IC 设计 晶圆制造 封测等领域, 由此带动各省市成立地方基金, 总计规模超过 3800 亿元 半导体产业化过程, 设备先行, 国内相关企业在封装测试 高纯工艺 检测设备等领域均有所斩获, 装备制造业有望充分受益产业基金的投资 投资建议 : 电子产品的崛起加快了半导体行业向中国大陆转移, 投资浪潮来临, 也带来了设备国产化的良机 随着一批优秀的国内企业开始在各个制程环节切入, 设备行业迎来了从 0 到 1 的布局时点 个股而言, 我们建议关注关注高端 IC 工艺装备龙头北方华创 ( 广发电子覆盖 ) 检测设备领先企业长川科技 高纯工艺龙头至纯科技和单晶设备龙头晶盛机电等 风险提示 : 晶圆厂投资不及预期 ; 行业周期性变化 ; 设备国产化不及预期 行业评级买入 前次评级 买入 报告日期 相对市场表现 机械设备 沪深 % 5% -5% - 15% 分析师 : 罗立波 S luolibo@gf.com.cn 分析师 : 许兴军 S xxj3@gf.com.cn 分析师 : 华鹏伟 S huapengwei@gf.com.cn 分析师 : 代川 S daichuan@gf.com.cn 分析师 : 王璐 S wanglu@gf.com.cn 相关研究 : 半导体设备跟踪报告 : 市场前 景广阔, 产业政策助力国产装备企业成长 3C 自动化跟踪报告 : 工艺变革 推动需求逻辑降维, 投资品变消费品机械设备行业 : 京东方高世代 线再下一城, 面板投资景气依旧 1 / 49

2 行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行 产业三大生产工艺环节及对应设备 IC 制造核心工艺 : 光刻 刻蚀 成膜 全球半导体设备, 回暖趋势明显 国内晶圆产能建设加速, 设备迎来新机遇 三 设备国产化之路 : 星星之火可以燎原 竞争格局 : 美日主导, 国产设备增长空间广阔 政策加码, 大基金引领产业投资浪潮 国内企业有所建树, 仍需客观正视差距 四 国产设备奋力前行, 分享产业投资红利 北方华创 : 国内 IC 高端工艺装备龙头 长川科技 : 后道检测设备领先企业 至纯科技 : 高纯工艺系统领域领先企业 晶盛机电 : 单晶设备龙头, 实现半导体业务突破 五 投资建议 六 风险提示 / 49

3 行业深度 机械设备 图表索引 图 1: 半导体产业链及下游应用... 5 图 2: 半导体产业分类... 6 图 3: 集成电路分类... 6 图 4: 代表性厂商制程节点技术路线图... 6 图 5: 半导体产业模式发展过程... 7 图 6:2016 年产业链各环节产能占比... 7 图 7: 全球半导体产业销售额 ( 百万美元 )... 8 图 8:2016 各地区销售额占比... 8 图 9: 全球半导体资本支出 ( 百万美元 )... 8 图 10: 中国半导体产业进出口情况 ( 亿美元 )... 9 图 11: 中国半导体产业销售额 ( 亿元 )... 9 图 12: 推动半导体产业发展的驱动力 图 13: 半导体两次产业转移 图 14: 中国及全球半导体销售额 ( 亿美元 ) 图 15:2017 第二季度全球半导体消费市场分布 图 16: 截止 2016 年底各国晶圆产能 图 17: 集成电路生产流程 图 18: 集成电路核心工艺对应设备 图 19: 提拉法 晶柱制造过程 图 20: 光刻工艺过程示意图 图 21: 光刻机各龙头企业市场份额 图 22:ASML 光刻机设备 图 23: 离子注入机工作示意 图 24:PECVD 工作原理 图 25: 半导体设备上下游 图 26: 全球各地区半导体设备销售额 ( 十亿美元 ) 图 27:2016 年各地区半导体设备销售额占比 图 28:2005 年各地区半导体设备销售额占比 图 29: 集成电路特征尺寸技术节点的变化 图 30: 全球晶圆产能 ( 等效 8 英寸, 百万片 ) 图 31: 晶圆产能集中度 图 32: 我国 8 英寸和 12 英寸晶圆厂投资情况 图 33: 全球半导体设备资本支出 ( 亿美元 ) 图 34: 半导体设备构成比例 图 35: 中国半导体各产业链销售额 ( 亿元 ) 图 36: 中国半导体设备销售收入 ( 十亿美元 ) 图 37: 中国半导体行业销售收入 ( 亿美元 ) 图 38: 国家集成电路产业发展推进纲要 总体目标 图 39:2015 年中国大陆主要半导体设备进口情况 / 49

4 行业深度 机械设备图 40: 我国大陆半导体设备市场规模 ( 亿美元 ) 及自制比例 图 41: 北方华创三大产品布局 图 42: 北方华创营业收入 ( 亿元 ) 及同比 图 43: 北方华创收入构成 图 44: 长川科技营业收入 ( 万元 ) 图 45: 长川科技收入构成 图 46: 半导体产业链中检测设备应用环节 图 47: 我国集成电路封装测试销售额 ( 亿元 ) 图 48: 我国集成电路市场构成 图 49: 至纯科技营业收入 ( 万元 ) 图 50: 至纯科技净利润 ( 万元 ) 及净利率 图 51: 高纯工艺在泛半导体核心工艺中的应用 图 52: 晶盛机电营业收入 ( 万元 ) 图 53: 晶盛机电营业构成 图 54: 晶盛机电半导体制造设备 图 55: 晶盛机电全自动单晶硅生长炉产能及销量 图 56: 晶盛机电多晶硅铸锭炉产能及销量 图 57: 单晶硅片加工流程 表 1:2016 年全球前十大半导体厂商 ( 百万美元 )... 9 表 2: 年主要智能手机生产厂商销量排名 ( 百万部 ) 表 3: 泛半导体行业单晶硅生长炉主要厂商 表 4: 刻蚀设备主要生产厂商 表 6: 工艺主要设备对应龙头厂商总结 表 7: 中国已量产的 12 英寸晶圆厂 ( 截止 2017 年 10 月 ) 表 8: 中国在建或正在计划中的 12 英寸晶圆厂 ( 截止 2017 年 10 月 ) 表 9: 中国已量产或在建的 8 英寸晶圆厂 ( 截止 2017 年 10 月 ) 表 10: 中国半导体设备投资分年度测算 ( 亿元 ) 表 8:2016 年全球半导体设备销售前十大厂商 ( 亿美元 ) 表 12:2016 年中国半导体设备销售十强 表 13: 全球前十大晶元代工厂 ( 百万美元 ) 表 14: 有关集成电路的国家支持政策 表 15: 国家集成电路产业投资基金投资标的 ( 截止 2017/10/16) 表 16: 地方集成电路基金统计 表 17: 通过工艺考核与产线验证的国产重大装备统计 表 18: 北方华创承接国家重大科技专项统计 表 19: 长川科技各年前五大客户 表 20: 至纯科技高纯工艺设备 表 21: 国内外晶体硅生长设备主要厂商 / 49

5 行业深度 机械设备 一 第三次产业迁移, 新周期已然到来 1.1 摩尔定律, 半导体工业不断突破制造极限半导体是指电阻率会发生变化, 导致常温下导电性能介于导体和绝缘体之间的材料 按照生产过程来看, 半导体产业链包含芯片设计 制造和封装测试环节, 其中后两个环节支撑着上游半导体材料 设备 软件服务的发展 ; 按照制造技术来看, 可以分为分立器件 集成电路 光电子和传感器等 4 大类 通过人为地掺入特定的杂质元素, 半导体的导电性可受控制, 进而产生巨大的经济效益, 因而半导体广泛地应用于下游通信 计算机 网络技术等产业 图 1: 半导体产业链及下游应用 数据来源 : 长川科技招股说明书整理, 广发证券发展研究中心 集成电路 (IC) 占到半导体总产值的 80% 以上, 是半导体产业最重要的组成部分, 通常意义上的半导体即代指集成电路, 具体包括逻辑芯片 存储芯片 处理器芯片和模拟芯片四种 IC 是指经过特种电路设计, 将晶体管 电阻 电容和电感等元件及布线互连一起, 成为具有所需电路功能的微型结构 IC 被广泛应用之前, 传统的分立电路多以导线连接独立的电路元件而构成 而集成电路的结构非常紧凑, 相比同样功能的分立电路体积大大缩小 ; 同时, 较小的体积也使得耗能更少, 工作性能卓越 半导体优越的技术性能 制造技术的发展以及采用结构单元的电路设计方式, 使标准化 IC 迅速取代了过去分立元件的传统电路设计成为主流 半导体工业不断突破制造极限 英特尔创始人戈登 摩尔提出摩尔定律 : 当价格不变时, 集成电路上可容纳的元器件数目, 约每隔 个月便会增加一倍, 性能也将提升一倍, 从而要求集成电路尺寸不断变小 经过十几年的发展, 我国集成电路制造企业的工艺水平已提升至 28 纳米, 与先进水平的差距逐渐缩小 目前 12 英寸生产线的 65/55 纳米 45/40 纳米 32/28 纳米工艺产品已经量产 ;16/14 纳米关键工艺技术 5 / 49

6 行业深度 机械设备已展开研发并取得一定的技术突破和成果 ;8 英寸生产线的技术水平覆盖 0.25 微米 ~0.11 微米 根据 IC insights 公布的技术路线图, 国际龙头厂商对半导体工艺的研究已经到了 10nm 以下, 而业内普遍认为 5nm 工艺将是极限, 此时晶体管就只有 10 个原子大小, 由于对物理极限的逼近使得开发难度大增 图 2: 半导体产业分类 图 3: 集成电路分类 分立器件 6% 光电子 9% 传感器 3% 存储器 28% 模拟 IC 17% 微处理器 22% 集成电路 82% 逻辑 IC 33% 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 图 4: 代表性厂商制程节点技术路线图 数据来源 :IC Insights, 广发证券发展研究中心 1.2 大浪淘沙, 半导体产业成熟的全球分工模式产业模式由 IDM 向垂直分工转化 半导体产业发展史伴随的是产业链分工的不断深化, 目前有两种商业模式, 一种是 IDM(Integrated Device Manufacture, 集成器件制造 ) 模式, 另一种是垂直分工模式 20 世纪 50 年代的半导体公司都是 IDM 集成模式, 随着 1987 年台湾积体电路公司 (TSMC, 台积电 ) 的成立,IC 设计 晶圆制造 封测分开的 Foundry 模式应运而生 经过半个多世纪发展, 全球半导体产业形成 IP 6 / 49

7 供应商 IC 设计 制造 封测的高效深度分工模式 行业深度 机械设备 出现垂直分工模式的原因有两点 :1. 行业具有规模经济性 随着制造工艺的进步和晶圆尺寸的增大, 单位面积上能够容纳的 IC 数量剧增, 成品率显著提高 企业扩大生产规模会降低单位产品的成本, 提高竞争力 2. 产业所需投资十分巨大, 沉没成本高 一般而言, 一条 8 英寸产线需要 15 亿美元投资, 而 12 英寸产线需要几十亿美元的投资, 这意味着除了少数实力强大的 IDM 厂商外, 其他企业根本无力扩张 单一公司的资本支出或技术无法支撑 IC 产业进一步发展, 行业内公司的经营模式变得多样化, 新厂商的进入也导致整个行业发生结构性变化 台积电的成立标志着半导体产业垂直分工模式的形成, 其只做晶圆代工 (Foundry), 不做设计, 这也使得台湾在代工与测封环节的产能占比最高 而作为半导体的发源地, 美国依然在 IDM 模式和 IC 设计 (Fabless) 占据较大优势 Fabless 与 Foundry 的快速发展, 促成垂直分工模式的繁荣 图 5: 半导体产业模式发展过程 数据来源 :TNO and CWTS, 广发证券发展研究中心 图 6:2016 年产业链各环节产能占比 数据来源 :IC Insights, 广发证券发展研究中心 7 / 49

8 行业深度 机械设备全球半导体市场已经进入成熟期 全球半导体产业自诞生以来经历了 20 世纪 60 年代至 90 年代的迅猛增长, 进入 21 世纪后市场日趋成熟, 行业增速逐步放缓 2015 和 2016 年行业的销售额同比增速仅为 -0.2% 1.1%, 主要是由于需求疲软 美元走强以及市场趋势和周期性等因素的叠加 分地区而言, 亚太地区 ( 除日本 ) 已成为全球半导体市场增长最为迅猛的区域,2016 年该地区半导体销售额达到 2084 亿美元, 占全球市场的 61.49% 同时, 行业去年资本支出同比增长 5%,SEMI 预计 2017 年 年间全球新投产晶圆厂约 62 座, 迎来新一轮建设高峰 图 7: 全球半导体产业销售额 ( 百万美元 ) 全球半导体产业销售额 同比 % 30% 20% 10% 0% -10% -20% -30% 数据来源 :Wind,WSTS, 广发证券发展研究中心 图 8:2016 各地区销售额占比图 9: 全球半导体资本支出 ( 百万美元 ) 全球半导体资本支出 同比 亚太 61% 美洲 19% 日本 10% 欧洲 10% % 120% 80% 40% 0% -40% 0-80% 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 根据 Gartner 公布的数据, 在企业并购潮的影响下, 前二十五大半导体厂商总收入增加 10.5%, 表现远优于整体产业增长率, 但前十强总收入出现 5.85% 的下滑, 龙头企业之间的差距进一步缩小 2016 年半导体产业出现小幅回弹, 虽然其年初因受到库存调整的影响而表现疲软, 但下半年需求增强, 汇率相对温和的变动及多项电子设 8 / 49

9 行业深度 机械设备 备部门产量的增加使得 NAND 闪存售价上扬, 定价环境得到改善, 助力全球半导体 收入改善 表 1:2016 年全球前十大半导体厂商 ( 百万美元 ) 2016 排名 2015 排名 公司 2016 收入 2016 市场份额 2015 收入 2015 市场份额 增长率 1 1 英特尔 % % 4.46% 2 2 三星电子 % % 6.05% 3 3 高通 % % -4.53% 4 4 SK 海力士 % % % 5 16 博通 % % % 6 5 美光科技 % % -8.91% 7 6 德州仪器 % % 2.11% 8 7 东芝 % % 9.70% 9 12 恩智浦 % % 40.15% 联发科技 % % 29.73% 其他 % % -5.85% 总计 % % 1.45% 数据来源 :Gartner, 广发证券发展研究中心 在行业整体容量增长缓慢的情况下, 地区结构却在悄然发生变化, 中国半导体产业持续扩大 近十余年来, 伴随着我国经济的高速发展, 智能手机和平板电脑市场呈爆发式增长, 对各类集成电路产品需求不断增长,2016 年集成电路销售额 4335 亿元, 同比增长 20%, 近 14 年年均复合增长率高达 22%, 已成为全球集成电路的主要消费市场 在我国工业化和信息化融合持续深入 信息消费不断升温 智慧城市建设加速等多方因素的共同带动下, 我们预计集成电路市场仍将保持稳定增长 图 10: 中国半导体产业进出口情况 ( 亿美元 ) 图 11: 中国半导体产业销售额 ( 亿元 ) 出口金额 进口金额 IC 设计 IC 制造 IC 封装测试同比 % 40% 20% 0% -20% 数据来源 :Wind, 广发证券发展研究中心 数据来源 : 中国半导体产业协会, 广发证券发展研究中心 9 / 49

10 行业深度 机械设备 1.3 山雨欲来, 第三次产业转移, 中国迅速崛起半导体产业驱动力由存储器 PC 向以智能手机为主导的消费类电子产品转移 纵观半导体产业的发展史, 随着科技及制造工艺的进步, 下游需求逐步演化, 推动产业发展的驱动力也在不断变化 历史上行业经历了四个阶段 :1. 由军工和原始计算机带动的初创发展期 二战后, 原始计算机的出现和军工的大量需求催生了最初的半导体产业,1958 年德州仪器设计出基于锗的 IC 模块, 集成电路由此诞生 在此后的二十年中, 基于硅的电路设计逐步发展起来, 使得集成电路制造进入量产阶段 2. 基于存储器 主机的快速发展期 年代, 存储器广泛应用, 商业公司也开始配备大型主机以提高工作效率, 工艺进步使得大规模集成电路出现, 半导体进入商用阶段 3. 基于 PC 的民用发展期 80 年代末,IBM 推出的 PC 业务迅速风靡全球, 生产成本的降低使得半导体更加适用于 PC, 整个行业基本都在围绕 PC 发展, 特别是半导体内存和微处理器, 行业进入民用阶段 4. 基于消费电子的成熟期 进入新世纪以来, 互联网大范围推广 同时, 苹果推出智能手机 谷歌推出安卓系统, 移动通讯进入爆发期, 迅速取代 PC 成为新的驱动力, 半导体也因此经历了 21 世纪初持续 10 年的增长, 而近几年又归于平静 总体而言, 经过了半个世纪的发展, 半导体行业销售额增速逐步放缓进入成熟期 图 12: 推动半导体产业发展的驱动力 数据来源 :SEMI, 广发证券发展研究中心 历史上行业经历了两次产业转移, 目前正借助消费电子时代向中国转移 半导体属于高技术壁垒行业, 这些行业往往具有 马太效应 积累资本的龙头公司能投入大量研发费用用于新技术研究与扩张, 会进一步拉大与追赶者的差距, 造成强者恒强的格局 只有巨大机遇来临时, 追赶者才有机会崛起 第一次产业转移时美国向日本的转移, 日本半导体业以存储器为切入口, 主要是 DRAM(Dynamic Random Access Memory) 80 年代, 受益于汽车产业和大型计算机市场的快速发展,DRAM 需求剧增 而当时日本在 DRAM 方面已经取得了技术领先, 日本企业此时凭借其大规模生产技术, 取得了成本和可靠性的优势, 并通过 10 / 49

11 行业深度 机械设备低价促销的竞争战略, 迅速在世界范围内成为 DRAM 主要供应国 世界市场快速洗牌, 根据 日本电子产业的兴衰 披露, 到 1989 年日本芯片在全球的市场占有率达 53%, 美国仅 37%, 欧洲占 12% 该阶段, 日本半导体产业的主要竞争力是产品的成本优势和可靠性 第二次由日本向韩国 台湾转移 不同于大型主机对 DRAM 质量和可靠性的高要求, PC 对 DRAM 的主要诉求转变为低价 DRAM 的技术门槛不高, 韩国通过技术引进掌握了核心技术, 并通过劳动力成本优势于 1988 年取代日本, 成为 DRAM 第一生产大国, 全球产业中心从日本转移到韩国 ; 而台湾则通过不断增加投资, 建成了世界领先的晶圆代工公司台积电和联电, 将产业模式由一体化 IDM 转向设计 制造 测封分离的模式, 并在生产技术上达到世界顶尖水平 图 13: 半导体两次产业转移 数据来源 : 日本电子产业的兴衰, 广发证券发展研究中心 移动通讯等电子产品崛起, 中国大陆正迎来半导体产业发展的新机遇 目前, 半导体产业的驱动力已经由 PC 进一步转化, 下游电子产品的发展带来了新的市场机遇 从周期的角度来说, 半导体已经进入成熟期, 以智能手机为主导的移动通讯将带来新的爆发点 2016 年全球智能手机制造前 13 强中有 10 家中国公司, 市场份额接近 40%, 已经成为全球电子消费第一大国 强劲的下游需求带动中国半导体销售额稳步提升,2017 年二季度中国已占世界整体销售额的 32% 产业中心由韩国 台湾逐步向中国大陆转移, 根据 IC Insights 的统计, 中国晶圆产能占比 11%, 是全球增长最快的地区 每一次新机遇的到来都有利于追赶者的崛起, 新兴地区凭借技术引进 劳动力成本优势实现超越 同时, 随着半导体工艺制程接近物理极限, 技术的发展速度势必会放缓, 也有助于中国企业与世界领先者缩短差距 11 / 49

12 行业深度 机械设备 图 14: 中国及全球半导体销售额 ( 亿美元 ) 全球中国中国 / 全球 Q1 2014Q3 2015Q1 2015Q3 2016Q1 2016Q3 2017Q1 35% 30% 25% 20% 15% 10% 5% 0% 数据来源 :WSTS, 广发证券发展研究中心 图 15:2017 第二季度全球半导体消费市场分布 图 16: 截止 2016 年底各国晶圆产能 其他 29% 中国 32% 欧洲 6% 其他 11% 中国 11% 台湾 22% 欧洲 10% 日本 9% 美洲 20% 美洲 13% 日本 17% 韩国 20% 数据来源 :WSTS, 广发证券发展研究中心 数据来源 :Global Wafer Capacity, 广发证券发展研究中心 注 : 按照面积折合成 8 寸等效晶圆产能 表 2: 年主要智能手机生产厂商销量排名 ( 百万部 ) 2016 排名 公司 国家 2014 销售量 2015 销售量 2016 销售量 2016 市场份额 1 三星 韩国 % 2 苹果 美国 % 3 华为 中国 % 4 OPPO 中国 % 12 / 49

13 行业深度 机械设备 5 VIVO 中国 % 6 ZTE 中国 % 7 LG 韩国 % 8 联想 中国 % 9 小米 中国 % 10 TCL 中国 % 11 金立 中国 % 12 魅族 中国 % 13 乐视 / 酷派 中国 % 前 13 强中, 中国公司市场份额 32.40% 35.76% 39.39% 总体 数据来源 :IC Insights, 广发证券发展研究中心 纵观历史,IC 产业起源于美国, 发展于日本, 加速于韩国 台湾 日 韩 台三地在经历了引入先进技术期后, 发展了适合自身的产业发展模式, 不论是日本的自主研发, 韩国的市场把握, 还是台湾的专注分工, 都使其成为了全球 IC 产业的中坚力量 21 世纪以来, 处于集成电路发展新周期的中国凭借着本次产业转移浪潮迅速崛起, 成为半导体产业的新中心, 给产业链内相关的中国公司带来了巨大的商机 13 / 49

14 行业深度 机械设备 二 行业投资加速, 半导体设备景气上行 半导体产业与面板产业相似, 都是重资产投入, 设备投资占总投资规模的比例达到 60% 以上, 其中一些关键的制程环节需要综合运用光学 物理 化学等科学技术, 具有技术含量高 制造难度大 设备价值高等特点 因此下游产业的发展衍生出了巨大的设备投资市场 2.1 产业三大生产工艺环节及对应设备 IC 产品生产附加值极高, 工艺进步依托于设备提升 目前的集成电路技术大多基于元素硅, 并在晶片上构建各种复杂电路 硅元素在地壳中的含量达到 26.4%, 是仅次于氧的第二大元素, 而单晶硅则可通过富含二氧化硅的砂石经提炼获得 由价格低廉的砂石到性能卓越的芯片,IC 的生产过程就是硅元素附加值大量增长的过程 从最初的设计, 到最终的下线检测, 生产过程需经过几十步甚至几百步的工艺, 整个制造过程工艺复杂, 其中任何一步的错误都可能是最后导致产品失效的原因, 因此对设备可靠性的要求极高 下游厂商也愿意为高可靠性 高精度设备支付技术溢价, 这也是半导体投资中设备投资占比较高的原因之一 从生产工艺来看, 半导体制造过程可以分为 IC 设计 ( 电路与逻辑设计 ) 制造( 前道工序 ) 和封装与测试环节 ( 后道工序 ) 设备主要针对制造及测封环节, 设计部分的占比较少 图 17: 集成电路生产流程 数据来源 : 长川科技招股说明书, 广发证券发展研究中心 1.IC 设计 : 是一个将系统 逻辑与性能的设计要求转化为具体的物理版图的过程, 主要包含逻辑设计 电路设计和图形设计等 将最终设计出的电路图制作成光罩, 进入下一个制造环节 由于设计环节主要通过计算机完成, 所需的设备占比较少 2.IC 制造 : 制造环节又分为晶圆制造和晶圆加工两部分 前者是指运用二氧化硅原料逐步制得单晶硅晶圆的过程, 主要包含硅的纯化 -> 多晶硅制造 -> 拉晶 -> 切割 研磨等, 对应的设备分别是熔炼炉 CVD 设备 单晶炉和切片机等 ; 晶圆加工则是指在制备晶圆材料上构建完整的集成电路芯片的过程, 主要包含镀膜 光刻 刻蚀 离 14 / 49

15 行业深度 机械设备子注入等几大工艺 i. 镀膜工艺 : 通过 PECVD LPCVD 等设备, 在晶圆表面增加一层二氧化硅构成绝缘层, 使 CPU 不再漏电 ;ii. 光刻工艺 : 通过光刻机, 对半导体晶片表面的掩蔽物 ( 如二氧化硅 ) 进行开孔, 以便进行杂质的定域扩散的一种加工技术, 加工的晶体管数量和密度都会随着制程工艺的升级而不断加强 ;iii. 刻蚀工艺 : 通过刻蚀机, 对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离 ;iv. 离子注入 : 通过离子注入机或扩散炉为材料加入特殊元素, 从而优化材料表面性能, 或获得某些新的优异性能 3.IC 测封 : 封装是半导体设备制造过程中的最后一个环节, 主要包含减薄 / 切割 贴装 / 互联 封装 测试等过程, 分别对应切割减薄设备 引线机 键合机 分选测试机等 将半导体材料模块集中于一个保护壳内, 防止物理损坏或化学腐蚀, 最后通过测试的产品将作为最终成品投入到下游的应用中去 图 18: 集成电路核心工艺对应设备 数据来源 : 至纯科技招股说明书整理, 广发证券发展研究中心 2.2 IC 制造核心工艺 : 光刻 刻蚀 成膜 IC 制造是将光罩上的电路图转移到晶圆上的过程, 这段时期硅晶片附加值增长最快 15 / 49

16 行业深度 机械设备该环节的制造难度相较后端的封装测试要高很多, 对于设备稳定性和精度的要求极高, 该部分设备投资体量巨大, 占整体设备投资的 70% 以上 其核心工艺主要包含晶圆制造 镀膜 光刻 刻蚀 离子注入 5 大环节 1. 晶圆制造工艺及设备 : 硅晶圆的制造可以归纳为三个基本步骤 : 硅提炼及提纯 单晶硅生长 晶圆成型 首先硅提纯 将原料放入熔炉中进行化学反应得到冶金级硅, 然后通过蒸馏和化学还原工艺, 得到了高纯度的多晶硅, 其纯度高达 %(7 个 9 以上 ), 成为电子级硅 然后在单晶炉中使用提拉法得到单晶硅 即先将多晶硅熔化, 然后将籽晶浸入其中, 并由拉制棒带着籽晶作反方向旋转, 同时缓慢地 垂直地由硅熔化物中向上拉出 熔化的多晶硅会按籽晶晶格排列的方向不断地生长上去, 形成单晶硅棒 硅晶棒再经过切段 滚磨 切片 倒角 抛光 激光刻后, 成为集成电路工厂的基本原料 硅晶圆片 图 19: 提拉法 晶柱制造过程 数据来源 :OFweek,Wikipedia, 广发证券发展研究中心 在泛半导体行业, 国内厂商已接近国外先进水平 半导体和光伏等行业均以硅晶圆作为加工原料, 只是前者对晶圆纯度要求更高, 运用于泛半导体产业的晶圆生长设备适当提高精度即可实现一定程度上的互相替代 在泛半导体行业, 单晶硅生长炉技术水平的指标有晶棒尺寸 投料量 自动化程度和单晶硅棒成品品质等, 其中投料量和尺寸是主要的衡量标准 一般而言, 投料量和晶棒尺寸越大, 单位生产成本越低, 技术难度也越大 目前国内市场单晶硅生长炉的投料量一般在 60~150kg, 尺寸一般在 6~8 英寸 当前只有少量几家公司能够生产 150kg 和 8 英寸以上的单晶硅生长炉, 如德国的 PVA TePla AG 公司, 美国的 Kayex 公司等 目前, 以晶盛机电为代表的国内厂商, 其设备技术水平已经接近甚至赶超了国外厂商水平, 并且拥有明显的成本优势, 占据了国内光伏市场的绝大部分份额 未来, 国产晶圆生长设备有望提高在半导体行业的渗透率 16 / 49

17 行业深度 机械设备 表 3: 泛半导体行业单晶硅生长炉主要厂商 厂商型号投料量尺寸研发阶段 PVA TePla EKZ kg 12 英寸量产 国外 国内 Kayex Vision kg 英寸 量产 Ferrotec FT-CZ2408BZ 150kg 8 英寸 量产 晶盛机电 TDR130A-ZJS 300kg 英寸小批量生产 Γ 商业机型 18 英寸研发验收 京运通 JD kg 10 英寸 研发升级 天龙光电 DRF-95B 150k 8-10 英寸 量产 七星电子 HG kg 6-8 英寸 量产 数据来源 : 产业信息网, 广发证券发展研究中心 2. 光刻工艺及设备 : 光刻是在一片平整的硅片上构建半导体 MOS 管和电路的基础, 利用光学 - 化学反应原理和化学 物理刻蚀方法, 将电路图形传递到单晶表面或介质层上, 形成有效图形窗口或功能图形的精密微细加工技术 由于晶圆表面上的电路设计图案直接由光刻技术决定, 因此光刻也是 IC 制造最核心的环节 光刻主要步骤是先在硅片上涂上一层耐腐蚀的光刻胶, 让强光通过一块刻有电路图案的镂空掩模板照射在硅片上, 使被照射到的部分 ( 如源区和漏区 ) 光刻胶发生变质, 然后用腐蚀性液体清洗硅片, 除去变质的光刻胶 ; 而被光刻胶覆盖住的部分则不会被刻蚀液影响 图 20: 光刻工艺过程示意图 数据来源 : 半导体制造工艺基础, 广发证券发展研究中心 光刻工艺价值巨大,ASML 独领风骚 即使是微米级的光刻工艺, 也需要重复循环 5 次以上, 而目前的 28nm 工艺则需要 20 道以上的光刻步骤, 整个光刻成本约为硅片制 17 / 49

18 行业深度 机械设备造工艺的 1/3, 耗费时间约占 40%-60% 而光刻机则是 IC 制造中最核心的设备, 价值量占到设备总投资的比例约为 20% 全球半导体设备龙头 ASML 在光刻机领域优势巨大, 其 EUV 光刻机工艺水平已经达到 10nm 的级别, 单台设备售价超过 1 亿美元 公司的市场份额超过 60%, 甩开了两个老对手 Nikon 和 Canon 极紫外光刻 EUV 是实现 10nm 以下工艺制程的最经济手段, 并且只有 ASML 一家供应商具备开发 EUV 光刻机的能力 因此半导体三巨头英特尔 台积电 三星均争相投资 ASML 开发 EUV 技术, 助其快速实现量产, 以及获得 EUV 设备的优先购买权 虽然我国上海微电子也研发出光刻机, 但由于中国半导体起步较晚, 技术上与外资品牌差距巨大 图 21: 光刻机各龙头企业市场份额 图 22:ASML 光刻机设备 数据来源 :SEMI, 广发证券发展研究中心 数据来源 :ASML 官网, 广发证券发展研究中心 3. 刻蚀工艺 : 按照掩模图形对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离的技术工艺, 是与光刻相联系的图形化处理的主要工艺, 通常分为干法刻蚀和湿法刻蚀 湿法刻蚀主要是在较为平整的膜面上用稀释的化学品等刻出绒面, 从而增加光程, 减少光的反射 干法刻蚀是用等离子体 ( 气体 ) 进行薄膜刻蚀的技术工艺, 通过电场对等离子体进行引导和加速, 使其具备一定能量, 当其轰击被刻蚀物的表面时, 更快地与材料进行反应, 从而利用物理上的能量转移实现刻蚀目的 中微半导体崛起, 泛林雄踞榜首 在刻蚀设备领域, 美国的泛林半导体凭借着先发优势和大量研发投入保持行业龙头地位, 但中国厂商中微半导体在近十年迅速崛起, 并开始打入国际市场 中微半导体的 16nm 刻蚀机实现商业化量产, 目前已经进入台积电的 5 个半导体生产线,7-10nm 刻蚀机设备可以与世界最前沿技术比肩 随着中微的崛起,2015 年美国商业部的工业安全局特别发布公告, 承认中国已经拥有制造具备国际竞争力刻蚀机的能力, 且等离子刻蚀机已经进入量产阶段, 因而决定将等离子刻蚀机从美国对中国控制出口名录中去除 表 4: 刻蚀设备主要生产厂商 国内厂商 18 / 49 国外厂商

19 行业深度 机械设备 中微半导体 北方华创 泛林半导体 应用材料 东京电子 数据来源 : 中微半导体 北方华创官网, 广发证券发展研究中心 4. 离子注入工艺及设备 : 是人为地将所需杂质以一定方式掺入到硅片表面薄层, 并使其达到规定的数量和符合要求的分布形式, 主要包括两种方法 高温热扩散法是将掺杂气体导入放有硅片的高温炉, 将杂质扩散到硅片内一种方法 ; 离子注入法是通过注入机的加速和引导, 将能量为 100keV 量级的离子束入射到材料中去, 与材料中的原子或分子发生一系列理化反应, 入射离子逐渐损失能量, 并引起材料表面成分 结构和性能发生变化, 最后停留在材料中, 从而优化材料表面性能, 或获得某些新的优异性能 在离子注入机领域, 美国应用材料占据了 70% 以上的市场份额 图 23: 离子注入机工作示意 数据来源 : 半导体工艺制程 广发证券发展研究中心 5. 成膜工艺及设备 : 主要运用 CVD 技术 (Chemical Vapor Deposition, 化学气相沉积 ), 是把含有构成薄膜元素的反应剂蒸气引入反应室, 在衬底表面发生化学反应生成薄膜的过程 CVD 技术具有淀积温度低 薄膜成份易控的特点, 膜厚与淀积时间成正比, 均匀性和重复性好, 其中应用最广的是 PECVD 和 MOCVD PECVD( 等离子体增强化学气相沉积 ), 是借助微波或射频等使含有薄膜组成原子的气体电离, 在局部形成等离子体, 利用等离子很强的化学活性, 在基片上沉积出所期望的薄膜 ;MOCVD( 金属有机化合物化学气相沉积 ), 是以热分解反应方式在衬底上进行气相外延, 生长各种 Ⅲ-V 族 Ⅱ-Ⅵ 族化合物半导体以及它们的多元固溶体的薄层单晶材料 通常 MOCVD 系统中的晶体生长都是在常压或低压下通氢气的冷壁不锈钢反应室中进行, 衬底温度为 , 用射频感应加热石墨基座, 氢气通过温度可控的液体源鼓泡携带金属有机物到生长区 薄膜工艺也是 IC 制造的一个基础工艺, 加工难度较高 根据 SEMI 的统计, 该环节设备投资占整体设备的 19 / 49

20 14%-15% 行业深度 机械设备 在 CVD 设备领域, 中国与世界先进水平差距较大 美国应用材料几乎涵盖了除光刻机以外的前制程设备, 并在 CVD 及 PVD 设备领域位居全球市占率第一, 而中国企业近年来在 02 专项的支持下也实现了技术突破, 其中北方华创的 CVD 设备已经进入中芯国际 28nm 生产线,14nm 设备正处于验证阶段 图 24:PECVD 工作原理 数据来源 : 中科院纳米研究所, 广发证券发展研究中心 总结 : 半导体设备按生产工艺流程可分为前端设备 ( 晶圆加工设备 晶圆制造设备 ) 和后道设备 ( 封装及测试设备 ), 占总体设备投资的比例分别为 70% 和 30% 我们进一步梳理了各环节主要设备的龙头企业, 其中应用材料作为全球最大的半导体设备供应商, 在晶圆制造设备的几个核心环节热处理 镀膜设备 离子注入设备等领先全球 日本公司更擅长制造刻蚀设备 涂胶机 显影机 测试设备等产品, 而以 ASML 为首的荷兰公司则在高端光刻机领域处于领先地位 表 5: 工艺主要设备对应龙头厂商总结 阶段设备种类龙头企业 晶圆制造 晶圆加工 封装 单晶炉扩散炉热处理设备光刻机光刻涂胶机镀膜设备刻蚀设备离子注入机清洗机键合机塑封机 Kayex PVA TePla Tempress Systems Centrotherm Photovoltaics AG 应用材料 东京电子 日立国际电气 ASML Nikon Canon 东京电子 Screen Semiconductor Solutions 应用材料 网屏 英国 SPTS 德国 Aixtron 泛林半导体 应用材料 东京电子应用材料 Axcelis Technologies 泛林半导体 日本 DNS Murata Machinery Daifuku 应用材料 Murata Machinery Daifuku 应用材料 20 / 49

21 行业深度 机械设备 净化 超纯系统 Kinetic Systems 日本森松 检测 分选机 Teradyne Advantest Cohu 测试机 Teradyne Advantest Agilent Epson 数据来源 : 半导体工艺技术, 广发证券发展研究中心 2.3 全球半导体设备, 回暖趋势明显半导体设备的上游为电子元器件和机械加工行业, 原材料包括机械零件 视觉系统 继电器 传感器 计算机和 PCB 板等, 优质的上游产品或服务有助于设备产品的可靠性和稳定性 行业的下游主要为封装测试 晶圆制造 芯片设计 集成电路产品技术含量高 工艺复杂, 技术更新和工艺升级依托于装备的发展 ; 反之, 下游信息产业不断开发的新产品和新工艺, 为设备行业提供了新需求和市场空间 以晶圆加工为例,8 英寸的晶圆制造设备无法运用于其他尺寸的加工, 因此当半导体行业进入 12 英寸时代后,8 英寸产品需要全部更新换代, 由此也带来了设备行业的增量空间, 促进了其持续发展 图 25: 半导体设备上下游 数据来源 : 长川科技招股说明书, 广发证券发展研究中心 总体设备市场恢复性增长, 接近历史最高水平 设备行业与半导体行业整体景气程度密切相关, 且波动较大 年受到金融危机的影响, 同比分别下降 31% 和 46%,2010 年强势回升, 并于次年达到历史最高点 435 亿美元, 随后受到周期性影响设备支出有所下降 而 2016 年全球集成电路设备市场规模为 412 亿美元, 同比增长 13% 由于随后几年全球各大厂商加速 12 英寸晶圆厂建设, 将带动上游设备销售, 根据 SEMI 最新的年中预测,2017 年全球半导体新设备销售额将达 494 亿美元, 同比增长 19.8%, 突破历史最高水平 分产品来看,SEMI 预计 2017 年晶圆加工设备达到 398 亿美元, 同比增长 21.7%; 光罩等其他前端设备 23 亿美元, 增长 25.6%; 而封装测试装备总计约 73 亿美元 下游企业竞争日趋激烈, 产业预期持续向好 中国设备占比逐步提升 分地区来看, 全球半导体设备主要销售区域为中国 日本 韩国 北美和台湾地区,2016 年占比分别为 16% 11% 19% 11% 和 30% 中国 21 / 49

22 行业深度 机械设备大陆在 05 年仅占 4%, 近几年随着大陆新建晶圆厂的增加, 为半导体设备 服务 材料等厂商提供了宝贵的机遇 2016 年中国大陆设备销售收入 64.6 亿美元, 同比增长 32%, 并首次超过日本, 成为全球第三大半导体设备销售地区 同时,SEMI 预计韩国设备销售将在 2017 年达到 亿美元, 超过台湾成为全球第一大市场 图 26: 全球各地区半导体设备销售额 ( 十亿美元 ) 50 中国欧洲日本韩国北美台湾其他 数据来源 :SEMI,Wind, 广发证券发展研究中心 图 27:2016 年各地区半导体设备销售额占比 图 28:2005 年各地区半导体设备销售额占比 中国 4% 台湾 30% 其他 8% 中国 16% 日本 11% 欧洲 5% 台湾 17% 其他 9% 欧洲 10% 日本 25% 北美 11% 韩国 19% 北美 17% 韩国 18% 数据来源 :SEMI, 广发证券发展研究中心 数据来源 :SEMI, 广发证券发展研究中心 2.4 国内晶圆产能建设加速, 设备迎来新机遇 晶圆产能集中度提高,12 英寸是当前主流 遵循摩尔定律的半导体行业曾经实现了快速增长, 在较低成本的基础上带来了强大的计算能力 为了保持成本, 既有通过 22 / 49

23 行业深度 机械设备技术进步的小型化之路, 也有增大晶圆尺寸的做法 通常, 半导体行业每十年升级 fab 架构来增加晶圆直径, 而同时技术进步则是每两年一个节点 随着纳米尺度逼近物理极限, 技术进步已经放缓, 晶圆尺寸的增加变得越来越重要 目前全球 12 英寸晶圆产能约为每月 11.5 百万片, 占总体产能的 65% 左右, 未来 12 英寸产能预计会继续扩张 图 29: 集成电路特征尺寸技术节点的变化 集成电路特征尺寸技术节点的变化 (nm) 数据来源 :CMIC, 广发证券发展研究中心 但是, 更大晶圆尺寸的资本投入也会大幅增长, 这为更弱小的玩家设置了进入壁垒 根据 VLSI research 公布的数据, 设备行业在 12 英寸平台开发上投入了 116 亿美元, 几乎是开发 8 英寸平台的 9 倍 由于这样的尺寸迁移会产生进入壁垒, 领先的设备供应商的扩张速度会远优于行业平均水平, 促进集中度的提升 行业前十企业的集中度已由 2009 年的 54% 大幅提升至 2016 年的 74% 由于行业发展的驱动力是技术进步和晶圆尺寸增加带来的多样化新应用和成本降低, 这给设备供应商带来了更大的增量空间 图 30: 全球晶圆产能 ( 等效 8 英寸, 百万片 ) 图 31: 晶圆产能集中度 23 / 49

24 行业深度 机械设备 6 英寸 8 英寸 12 英寸 E 2018E 2019E 2020E 数据来源 :IC Insights, 广发证券发展研究中心 数据来源 :IC Insights, 广发证券发展研究中心 中国晶圆厂建设加速 根据 SEMI 的数据统计, 预估在 年间, 全球将有 62 座新的晶圆厂投入营运 中国大陆在这段期间将有 26 座新的晶圆厂投入营运, 占新增晶圆厂的比重高达 42%, 美国为 10 座, 台湾为 9 座, 下游产能的扩张带来设备需求的弹性 据江苏省半导体行业协会的统计,2016 年中国大陆已进入连年国产阶段的晶圆生产线有近 100 条, 其中 12 英寸晶圆生产线共有 9 条,8 英寸晶圆生产线共有 16 条,6 英寸晶圆生产线共有 40 条,5 英寸晶圆生产线约有 16 条 中芯国际在北京的 Fab4 厂是中国最早量产的 12 英寸晶圆厂, 经过几次技术改进工艺水平达到 65nm 除此之外, 中芯国际也分别在北京和上海拥有两条 12 英寸产线, 技术节点达到了 28nm, 领先国内水平 除了中芯与武汉新芯外, 还暂未有国产企业拥有量产的 12 英寸厂 然而, 英特尔 三星与 SK 海力士早已在大陆开始布局 SK 海力士早在 08 年就在无锡建设了 8 英寸晶圆产线, 随后升级为 12 英寸 而英特尔大连工厂在 2010 年完工后用于生产 65nm 制程 CPU,2015 年 10 月与大连市政府合作, 投资 55 亿美元转型生产 3D NAND Flash 目前国内已经量产的 12 英寸晶圆厂仅有 9 座, 合计产能 42.9 万片 / 月 表 6: 中国已量产的 12 英寸晶圆厂 ( 截止 2017 年 10 月 ) 公司 地点 编号 生产项目 技术节点 投资金额 ( 亿 ) 月产能 (K) 中芯国际 上海 Fab8 逻辑晶片 90-28nm CMOS 北京 Fab4 逻辑晶片 90-65nm CMOS 北京 Fab6 高通芯片 65-28nm CMOS 武汉新芯 武汉 Fab1 3D NAND Flash 90-65nm CMOS 三星 西安 Fab1 一期 3D NAND Flash 20-10nm 华力微电子 上海 Fab1 逻辑芯片 90-40nm CMOS SK 海力士 无锡 HC1 存储器 90-40nm DRAM 无锡 HC2 存储器 45-25nm NAND Flash Intel 大连 Fab68 计算机套片 65-40nm 数据来源 : 各公司官网, 广发证券发展研究中心 24 / 49

25 行业深度 机械设备在政策和资本的双重驱动下, 中国大陆晶圆生产线建设进入了新一轮发展浪潮 除了已经量产的 9 条 12 英寸产线外, 从 2014 下半年至 2017 上半年, 中国大陆正在兴建或宣布计划兴建的 12 英寸晶圆生产线共有 20 条 ( 包括扩产升级的产线 ), 大大超越了已有数量, 这在史上也是绝无仅有的集建设时期 中国大陆正在兴建的 12 英寸晶圆产线, 按主流产品和工艺技术来分, 可以分为逻辑 (Logic) 芯片 存储器 (Memory) 芯片和专用芯片生产线 3 类 目前兴建中技术水平最高的厂商依然是中芯国际, 其在北京投资 40 亿美元的 B3 产线已达到 14nm 制程 ; 同时还投资 675 亿元在上海兴建新晶圆厂, 生产工艺涵盖 28-14nm, 并且开始着手研发 10/7nm 工艺, 预计 2018 年正式投产 而作为台湾地区晶圆代工龙头台积电, 也于今年宣布在南京建设 12 英寸晶圆厂, 这也意味着 16nm 制程芯片将在大陆量产 除了新建产线, 原有的外资 12 英寸产线也开始了技术升级 产能扩建的进程 其中包括 SK 海力士 ( 无锡 ) 进行第 5 期扩建工程, 以及三星 ( 西安 ) 进行第二座 12 英寸晶圆 3D NAND Flash 工厂建设 根据目前的规划, 若这些晶圆厂全部量产, 可达到的理论产能约为 125 万片 / 月 叠加现有产能, 则未来中国 12 英寸晶圆产能将超过 160 万片 / 月, 将大大拉动对半导体设备的需求 表 7: 中国在建或正在计划中的 12 英寸晶圆厂 ( 截止 2017 年 10 月 ) 公司 地点 编号 生产项目 技术节点 投资金额 / 亿元 月产能 (K) 动工时间 紫光集团 南京 3D NANDFLASH DRAM 年 2 月成都 DRAM 12 寸 1449 TBD 深圳 Fab16 图像传感器 逻辑电路 45nm 年 9 月 中芯国际 上海 SN1&SN2 逻辑晶片 28/14/10/ 年 10 月宁波 TBD 北京 B3 逻辑晶片 28-14nm 年 10 月 武汉 一线 逻辑芯片 3D NAND Flash 年 3 月 长江存储 武汉 二线 3D NAND Flash 年 12 月 武汉 三线 DRAM DRAM TBD 台积电 南京 逻辑晶片 16nm 年 6 月 三星 西安 Fab1 二期 3D NAND Flash 年 10 月 美国 AOS 重庆 MOSFET 新功率半导体器件 12 寸 年 3 月 联华电子 厦门 Fab12X 55-40nm 年 10 月 力晶 合肥 LCD 驱动芯片 65-55nm 年 3 月 华力微电子 上海 Fab2 逻辑芯片 28/14nm 年 12 月 合肥长鑫 / 494 合肥 DRAM 19nm 兆易创新 年 5 月 格罗方德 成都 Fab11 FD-SOI 22nm 年 3 月 福建晋华 泉州 DRAM 及 NAND Flash 32-20nm 年 7 月 德科玛 淮安 CIS 芯片及测封 65nm 年 3 月 SK 海力士 无锡 五期工程 扩大 NAND Flash 产能 45-25nm 年 7 月 25 / 49

26 行业深度 机械设备 数据来源 : 各公司官网, 广发证券发展研究中心从 2016 年下半年起, 国内外 8 英寸晶圆产能日趋紧张, 现有的 8 英寸产线投片量日益饱满, 因而在大陆新建和扩建 12 英寸产线的同时,8 英寸晶圆生产线的新建和扩建也随势展开 至今, 新建的 8 英寸晶圆生产线主要有大连宇宙半导体和淮安德克玛等, 扩建的 8 英寸产线主要是中芯国际 ( 天津 )Fab7 总体来说, 国内的 8 英寸产线共计 21 条, 其中量产 16 条, 在建或扩建 5 条, 共计产能 115 万片 / 月 表 8: 中国已量产或在建的 8 英寸晶圆厂 ( 截止 2017 年 10 月 ) 公司 地点 生产线编号 生产项目 技术节点 投资金额 / 亿 月产能 (K) 目前状态 天津 Fab um CMOS 量产 天津 Fab7 扩产 高通芯片 8 英寸 扩产升级 中芯国际 深圳 Fab um CMOS 量产 上海 Fab2/Fab um CMOS 量产 上海 Fab um CMOS 量产 中科院微电子所 北京 中试线 um CMOS 10 量产 中航微电子 重庆 COMS 量产 中车时代 株洲 Fab2 IGBT/FRD IGBT/FRD 量产 燕东 北京 在建 台积电 上海 Fab1 汽车芯片 CMOS 量产 士兰集成 杭州 在建 上海先进 上海 Fab 数模混合 量产 华润上华 无锡 Fab 数模混合 量产 上海 Fab1 嵌入式存储器 um CMOS 量产 华虹宏力 上海 Fab2 嵌入式存储器 um CMOS 量产 上海 Fab3 嵌入式存储器 um CMOS 量产 和舰科技 苏州 P1 逻辑芯片 CMOS 量产苏州 P2 逻辑芯片 0.13 CMOS 量产 德州仪器 成都 Fab um CMOS 及数模混合 量产 德科玛 淮安 F2 电源管理芯片 um 在建 大连宇宙 大连 半导体功率器件 在建 数据来源 : 各公司官网, 广发证券发展研究中心 中国晶圆厂投资迎来爆发期 我们统计了国内所有 8 英寸及 12 英寸产线的投资数据, 从未来的投资轨迹来看, 年是晶圆厂投资的高峰期 这四年内, 将有 20 条产线 12 英寸晶圆产线实现量产, 其中包括紫光集团两条 中芯国际四条 长江存储三条, 台积电 三星 美国 AOS 联华电子 力晶 华力微电子 合肥长鑫 格罗方德 福建晋华 德克玛 SK 海力士各一条, 合计投资金额约 6827 亿元 ( 去除紫光成都产线和中芯国际宁波产线, 因为其只与政府签订合作意向, 项目并未实际动工 ) 全部投产后, 中国的 12 英寸晶圆产能将领先台湾与韩国 同时, 未来国内新增的 8 英寸晶圆产能 45.5 万片 / 月, 相比目前的量产规模增长 65%, 新增投资 247 亿元 26 / 49

27 行业深度 机械设备 图 32: 我国 8 英寸和 12 英寸晶圆厂投资情况 晶圆厂投资金额 ( 亿元 ) 同比 % % % % 400 0% 0-50% 数据来源 :SEMI, 广发证券发展研究中心 未来国内半导体设备市场空间测算 : 根据我们人工统计的晶圆产线数据, 按照产线的投资额进行 4 年的平滑, 可以计算出未来每年晶圆厂投资数据 在过去的十年中, 全球半导体设备资本支出占总体资本支出的比例平均约为 67%, 即一条晶圆产线的全部资本投资中,2/3 的资金用于购买设备, 剩下的 1/3 用于厂房建设, 包括人员开支 设计 材料等费用 我们以一条 15 亿美元的产线为例, 其中 10 亿美元用于设备支出, 主要的设备包括以下几种 :i. 光刻机 : 最高端的 ASML 光刻机售价高达 1 亿美元, 整条产线根据产能大小只需要几台光刻机即可 ;ii. 等离子刻蚀机 : 一条产线需要 台, 单台价格在 万美元左右 iii.cvd 设备 : 一个晶圆厂至少需要 30 台, 单台价格 万美元 iv. 检测设备 : 最贵的美国检测机单价约为 100 万 -120 万美元, 其中前道工序需要 50 台, 而后道工序则需要上百台 按照 SEMI 公布的数据, 约 70% 的市场为前端晶圆制造设备, 而封装设备 测试设备的占比分别为 15% 和 10% 由于光刻 刻蚀 沉积等流程在芯片生产过程中不断循环往复, 对于设备稳定性和精度的要求极高, 这部分设备价值体量也最高, 其中最核心装备光刻机 镀膜沉积设备 刻蚀设备分别占晶圆厂设备总投资的 20% 15% 和 14% 左右 根据我们的测算, 中国晶圆厂设备未来几年的投资额将达到千亿级别, 对应的设备投资额也为 585 亿 亿元不等, 我们预计 2019 年设备投资额将达到近期峰值水平, 其中晶圆制造的设备投资额将达到 847 亿元 由于前道设备技术难度极高, 同时国外实施技术封锁, 国产企业无法掌握核心技术而较难切入该领域 后道的封装测试环节技术难度相对较低, 尤其是测试设备, 大陆凭借着技术引进和较低的劳动力成本优势已经在该领域有所建树,2017 年测试设备市场规模有望达到 59 亿元 27 / 49

28 行业深度 机械设备 图 33: 全球半导体设备资本支出 ( 亿美元 ) 全球半导体设备资本支出 设备 / 资本支出 % % 40% 20% % 数据来源 :Wind, 广发证券发展研究中心 图 34: 半导体设备构成比例 数据来源 :SEMI, 广发证券发展研究中心 表 9: 中国半导体设备投资分年度测算 ( 亿元 ) 年度 E 2018E 2019E 2020E 晶圆厂投资金额 , , , 同比 79% 160% 91% 8% -7% 半导体设备投资额 ( 亿元 ), 假设占总投资比例的 66.67% 28 / 49

29 行业深度 机械设备 , , , 晶圆制造, 假设占总体设备投资的 70% 光刻机 薄膜沉积 刻蚀设备 其他制造设备 封装及组装 测试 其他 数据来源 : 各公司官网, 广发证券发展研究中心 持续的产能转移不仅带动了国内集成电路整体产业规模和技术水平的提高, 也为装 备制造业提供了巨大的市场空间 29 / 49

30 行业深度 机械设备 三 设备国产化之路 : 星星之火可以燎原 3.1 竞争格局 : 美日主导, 国产设备增长空间广阔目前全球集成电路专用设备生产企业主要集中于欧美和日本等, 行业排名基本保持稳定 以美国应用材料公司 (Applied Materials) 荷兰阿斯麦(ASML) 美国泛林半导体 (Lam Research) 日本东京电子(Tokyo Electron) 等为代表的国际知名企业起步较早, 借助资金 技术 客户资源 品牌等方面的优势, 占据了全球集成电路装备市场的主要份额 其中美国应用材料凭借在 CVD 设备和刻蚀领域的优势位居全球第一,2016 年收入 76 亿美元, 市占率高达 18.55%; 而荷兰的 ASML 则在光刻机领域领先, 几乎垄断了高端光刻机市场, 去年收入 75 亿美元, 净利润 16 亿美元 ; 而东京电子和泛林半导体的市场份额大致相同, 分别为 15.89% 15.46% 2016 年全球半导体专用设备前 10 名制造商销售规模达 379 亿美元, 占全球市场的 92%, 市场集中度较高 表 10:2016 年全球半导体设备销售前十大厂商 ( 亿美元 ) 排名公司国家设备收入市占率净利润毛利率净利率主要产品领域 1 应用材料美国 % % 19.76% CVD 设备 刻蚀机 快速热处理设备 离 子注入机 化学机械抛光设备等 2 奥斯迈荷兰 % % 21.71% 高端光刻机 3 东京电子 日本 % % 13.65% 4 泛林 美国 % % 17.19% 5 科磊 美国 % % 26.98% 6 DNS 日本 % % 7.98% 成膜设备 等离子刻蚀机 表面处理设备 晶圆测试设备 涂胶机 / 显影机等刻蚀设备 薄膜沉积设备 晶圆清洗设备 光致抗蚀设备等缺陷检测设备 等离子刻蚀机 晶圆形状测量设备 掩膜板制造设备等晶圆清洗设备 退火设备 晶圆测量设备 直接成像设备等 7 爱德万日本 % % 7.42% 测试设备等 8 泰瑞达美国 % % 17.36% 测试设备等 9 日立高新日本 % % 7.07% 干法刻蚀设备 计量与检测设备 表面安 装机和模片结合器等 10 尼康日本 % % 3.64% 高端光刻机 其他 % 总体市场 数据来源 :Bloomberg SEMI, 广发证券发展研究中心 国产半导体设备企业起步较晚, 提升空间广阔 相比国外超过 30 年的发展经验, 国内的半导体设备行业主要是在国家 02 专项的扶持下发展起来 2016 年中国半导体设备销售额为 425 亿元, 同比增长 31.83%, 中国前十强企业总收入 亿元, 仅占国内市场分额的 10% 左右 本土设备供应商在先进制造工艺上和国外还存在一定技术差距, 品牌影响力有限, 第一的中电科也仅收入 9.08 亿元, 与国际龙头差距较大 30 / 49

31 行业深度 机械设备但在次级设备或泛半导体设备的技术上取得了一定突破, 如中微半导体的刻蚀设备 北方华创的 CVD 设备等, 目前已经可以应用于次级工艺水平的半导体加工, 或光伏 LCD 等泛半导体行业 表 11:2016 年中国半导体设备销售十强 排名 公司 半导体设备销售中国市收入 ( 亿元 ) 场份额 主要产品 中电科电子装备集团有限公司 ( 含 1 42/5/48 所 北京中科信 北京中电科 ) % CMP 键合机 封装设备 切磨抛 2 浙江晶盛机电股份有限公司 % 多晶铸锭炉 单晶炉等晶体生长设备 3 深圳捷佳伟创系能源装备股份有限公司 % 制绒设备 扩散设备 清洗设备 4 北方华创科技集团股份有限公司 % 刻蚀机 CVD 设备 清洗机 封装设备 外延 5 中微半导体设备有限公司 % 刻蚀设备 封装 6 上海微电子装备有限公司 % 光刻机 7 北京京运通科技科技股份有限公司 % 多晶硅铸锭炉 单晶炉 8 天通吉成机器技术有限公司 % 微电子 精密加工设备, 主要用于光伏 LED 9 盛美半导体设备有限公司 % 镀铜设备 抛铜设备 单晶圆清洗设备 10 深圳格兰达智能装备股份有限公司 % 自动化设备 数据来源 : 中国半导体行业协会, 广发证券发展研究中心 国产品牌以封装测试为主, 晶圆制造环节占比相对较少 在集成电路发展早期, 我 国以封装测试环节作为切入口并大举发展 该环节的技术含量较低, 属于劳动密集 型, 因此封装测试产业在我国占比最大, 并已成为我国集成电路产业链中最具国际 竞争力的环节,2016 年封装测试业占整体销售规模的 36% 同时, 随着我国对芯片 设计行业扶持力度的不断加大, 芯片设计所占比重呈逐年上升趋势,2016 年其销售 规模占比达 37.9%, 同比增长 24%, 成为占比最高的细分行业 相比之下,IC 制造 属于资本和技术密集型产业, 开创晶圆代工先河的台积电凭借着先发优势迅速占领 市场,2016 年代工市场份额 58%, 遥遥领先其他企业 而中芯国际作为国产品牌代 表这几年发展较快,2016 年收入 28 亿美元, 逐步逼近联华电子, 但与台积电差距较 大, 短期内不存在超越可能 表 12: 全球前十大晶元代工厂 ( 百万美元 ) 2016 排名 2015 排名公司国家 2014 收入 2014 份额 2015 收入 2015 份额 2016 收入 2016 份额 1 1 台积电台湾 % % % 2 2 Global Foundries 美国 % % % 3 3 联华电子 台湾 % % % 4 4 中芯国际 中国 % % % 5 6 Towerjazz 以色列 828 2% 961 2% % 6 5 力晶 台湾 % % % 7 7 Vanguard 台湾 790 2% 736 2% 780 2% 8 8 华虹宏力 中国 665 2% 650 1% 700 1% 31 / 49

32 9 9 Dongbu HiTek 行业深度 机械设备 韩国 541 1% 593 1% 640 1% SSMC 新加坡 480 1% 474 1% 470 1% - - 其他 % % % - - 总计 % % % 数据来源 :IC Insights, 广发证券发展研究中心 图 35: 中国半导体各产业链销售额 ( 亿元 ) 5000 封装测试设计业制造业 数据来源 :Wind, 广发证券发展研究中心 设备销售收入与半导体消费并不匹配 由于下游消费电子 物联网的崛起, 中国半导体行业销售收入已经占到全球的 30% 以上, 但半导体设备由于技术差距, 市场份额仅为全球的 15%, 设备与产业的地位并不匹配 随着国家政策的大力支持, 国产设备也开始逐步实现技术突破, 例如上海中微在刻蚀机领域的突破等, 未来国产设备增长空间广阔 图 36: 中国半导体设备销售收入 ( 十亿美元 ) 图 37: 中国半导体行业销售收入 ( 亿美元 ) 中国设备销售 设备销售 : 中国 / 全球 中国 中国 / 全球 70 20% % % 12% 8% 4% 0% Q1 2014Q3 2015Q1 2015Q3 2016Q1 2016Q3 2017Q1 30% 25% 20% 15% 10% 5% 0% 数据来源 :SEMI Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 32 / 49

33 3.2 政策加码, 大基金引领产业投资浪潮 行业深度 机械设备 国家政策支持力度空前 作为信息产业的核心, 国家先后出台 关于加快培育和发展战略性新兴产业的决定 鼓励集成电路产业发展企业所得税政策 等一系列鼓励扶持政策, 从税收 资金 人才培养等各个维度为半导体产业给予扶持和推动 其中, 以 2014 年 6 月国务院发布的 国家集成电路产业发展推进纲要 最为重要 纲要 明确提出到 2020 年,IC 产业与国际先进水平的差距逐步缩小, 封装测试技术达到国际领先水平, 关键装备和材料进入国际采购体系, 基本建成技术先进 安全可靠的集成电路产业体系, 实现跨越式发展 同时设立产业基金, 帮助其并购国际大厂, 或与国际大厂通过合资设立新公司方式进行合作 这一系列政策显示出国家扶持半导体产业的决心 图 38: 国家集成电路产业发展推进纲要 总体目标 数据来源 : 国务院 国家集成电路产业发展推进纲要, 广发证券发展研究中心 表 13: 有关集成电路的国家支持政策 时间部门政策名称相关内容 财政部 国税总局 财政部 国税总局 国务院 国务院 国家发改委 关于鼓励软件产业和集成电路产业发展有关税收政策 关于进一步鼓励软件产业和集成电路产业发展税收政策 关于加快培育和发展战略性新兴产业的决定 十二五 国家战略性新兴产业发展规划 战略性新兴产业重点产品和服务指导目录 制定了鼓励集成电路产业发展的若干税收政策 把税收优惠范围扩大到集成电路产业上游的设计企业和下游的制造商 着力发展集成电路 新型显示 高端软件 高端服务器等核心基础产业 突破先进和特色芯片制造工艺技术, 先进封装 测试技术以及关键设备 仪器 材料核心技术, 培育集成电路产业竞争新优势 将集成电路测试设备列入战略性新兴产业重点产品目录 国务院 国家集成电路产业发展推进以设计为龙头 制造为基础 装备和材料为支撑, 以技术创新 模式创新和体制 33 / 49

34 行业深度 机械设备 纲要 机制创新为动力, 推动集成电路产业重点突破和整体提升, 实现跨越发展 发改委 财政部 国家集成电路产业投资基金 将重点投资集成电路芯片制造业, 兼顾芯片设计 封装测试 设备和材料等产业, 财政部 国务院 财税 [2015]6 号 : 鼓励集成电路产业发展企业所得税政策 我国集成电路产业 十三五 发展规划建议 符合条件的企业自获利年度起, 第一年至第二年免征企业所得税, 第三年至第五年按照 25% 的法定税率减半征收企业所得税, 并享受至期满为止 ; 到 2020 年全行业销售收入达到 9300 亿元 ;16/14nm 制造工艺实现规模量产, 封装测试技术进入全球第一梯队 关键装备和材料进入国际采购体系 数据来源 : 长川科技 至纯科技招股说明书整理, 广发证券发展研究中心在 纲要 的框架下, 国家集成电路产业投资基金应运而生 基金将重点投资 IC 芯片制造业, 兼顾芯片设计 封装测试 设备和材料等产业, 推动企业提升产能水平和实行兼并重组, 形成良性的自我发展能力 大基金以公司制形式设立, 以股权投资的市场化机制支持产业发展, 这与以往的国家补贴模式有着本质上的不同 大基金投资总期限计划为 15 年, 分为投资期 ( 年 ) 回收期( 年 ) 延展期( 年 ) 大基金的初期规模为 1400 亿元, 并已进入了密集投资期, 在上中下游布局的企业数量众多, 涵盖了 IC 设计 晶圆制造 封测等领域 从具体的细分行业来看, 大基金主要投向了集成电路制造环节, 占总体承诺投资额的 60% 以上, 重点扶持中芯国际 三安光电 长江存储等企业 另外也不乏一些关键的设备企业, 例如长川科技 中微半导体 拓荆科技和北方华创等, 其中大基金持有长川科技的股权为 7.5% 产业政策的扶持, 也带来了设备国产化的良机 随着一批优秀的国内企业开始在各个制程环节切入, 设备行业迎来了从 0 到 1 的布局时点 表 14: 国家集成电路产业投资基金投资标的 ( 截止 2017/10/16) 环节 标的名称 主营业务范畴 地点 股权比例 投资 / 亿元 国科微电子 广播电视 安防监控 固态存储等集成电路及解决方案开发 湖南长沙 21.05% 4 中兴微电子 有线芯片, 包括 WCDMA 芯片设计和开发工作 深圳 24% 24 艾派克微电子 以 ASIC 和 SOC 为解决方案, 拥有齐全的产品阵列 广东珠海 4.22% 5 紫光集团 以集成电路产业为主导, 向存储芯片与存储器制造领域发展 河北唐山 100 IC 设计 IC 制造领域设备领域 展讯通信 手机芯片平台开发,2014 年被紫光集团收购 上海 北斗星通 卫星导航芯片 板卡 天线 北京 11.46% 15 国微技术 全球付费电视广播接收及中国的移动销售终端支付系统 深圳 盛科网络 全球领先的 SDN 先行者以及核心芯片 白牌交换机供应商 江苏苏州 3.1 硅谷数模 高性能混合信号半导体产品设计厂商 北京 5 亿美元 芯原股份 芯片设计平台 上海 中芯国际 集成电路晶圆代工领军企业 上海 11.54% 27 三安光电 全色系超高亮度发光二极管外延及芯片产业化生产 厦门 11.30% 杭州士兰微 积体电路和半导体产品 浙江杭州 2 长江存储 从 3D NAND Flash 切入高端芯片设计与制造 湖北武汉 189 耐威科技 惯性导航 + 卫星导航 + 组合导航 北京 14% 20 华力微电子 IP 解决方案 DFM 光罩服务 MPW 服务等 上海 长川科技 测试设备, 主要包括测试机 分选机和探针台等 浙江杭州 7.50% 中微半导体 研发薄膜制造设备和等离子体刻蚀设备 大面积显示屏设备等 上海 7.14% / 49

35 行业深度 机械设备 拓荆科技 PECVD 设备供应商 辽宁沈阳 2.7 北方华创 组装生产集成电路设备 光伏设备 TFT 设备等 北京 7.50% 6 睿励科学仪器 刻蚀 化学气相沉积 光刻和化学机械抛光等工艺段的测量 上海 材料领域 封测企业 上海硅产业投资公司 专注于硅材料产业及其生态系统发展 上海 7 江苏中能 高纯多晶硅生产 江苏徐州 安集微电子 化学机械抛光液 清洗液 光阻去除液及相关化学品 上海 0.05 烟台德邦科技 提供制造 封装 粘合 散热等功能性材料及应用服务 山东烟台 21.9% 0.22 世纪金光 半导体晶体材料 外延 器件 研发 设计 生产与销售 北京 长电科技 半导体封装测试企业 江苏无锡 19.00% 29 通富微电 传统封装技术以及 MEMS 等封装技术 ; 圆片测试 系统测试 江苏南通 14.65% 华天科技 晶圆级集成电路封装及 FC 集成电路封装 甘肃天水 27.23% 5 中芯长电 12 英寸凸块加工及配套晶圆芯片测试业务 江苏无锡 29.41% 数据来源 : 各公司官网, 广发证券发展研究中心响应国家号召, 地方基金大量成立 半导体产业属于重资本开支行业, 一条 12 英寸高制程晶圆产线的投入资金一般要达到几十亿美元, 仅仅凭借中央大基金的资金支持仍然不够 因此在大基金设立的同时, 国家也支持设立地方性投资基金, 鼓励社会各类风险投资和股权投资基金进入集成电路领域, 以国家资金为杠杆, 撬动大规模资本进入半导体产业 根据我们的人工统计, 各省市几乎都有规模不等的地方基金成立, 总计规模超过 3800 亿元 半导体产业化过程, 设备先行, 行业有望充分受益产业基金的投资 表 15: 地方集成电路基金统计 省市时间基金名称基金规模 / 亿元投资标的 中央 2014 年 9 月 国家集成电路产业投资基金 年 5 月 集成电路产业投资基金 300 安徽 2017 年 5 月 集成电路天使投资基金 年 12 月 集成电路产业发展股权投资基金 300 北京 2015 年 7 月 集成电路海外平行基金 20 重点投资集成电路晶圆制造 设计 封测 装备材料等全产业领域瑞典 MEMS 晶圆代工商 Silex 收购案 圆融光电 福建 2016 年 3 月厦门国资光电联合发展基金 年 6 月安芯产业投资基金 500 广东 2016 年 6 月广东省集成电路产业投资基金 150 主要投向集成电路设计 制造 封测及材 料装备等产业链重大和创新项目 贵州 2015 年 12 月贵州华芯集成电路产业投资有限公司 18 河北 2016 年 11 月集成电路产业投资基金 100 湖北 2015 年 8 月湖北集成电路产业投资基金不低于 300 亿 湖南 2016 年 3 月国微集成电路创业投资基金 2.5 建设武汉中国光谷集成电路产业园区 武 汉新芯二期 35 / 49

36 行业深度 机械设备 2015 年 7 月南京市浦口区集成电路产业基金 10 江苏 2016 年 12 月南京市集成电路产业专项发展基金 年 12 月无锡市集成电路产业投资基金 年 2 月海峡两岸集成电路产业投资基金 100 辽宁 2016 年 6 月辽宁省集成电路产业投资基金 100 陕西 2016 年 9 月陕西省集成电路产业投资基金 300 上海 2016 年 2 月集成电路产业基金 500 围绕陕西省集成电路制造 封装 测试 核心装备等产业关键环节的重点项目 100 亿元设计业 100 亿元装备材料业 300 亿元制造业, 参与华力微二期 深圳 2015 年 10 月集成电路产业引导基金首期 100 亿中兴微电子 四川 2016 年 5 月四川省集成电路和信息安全投资基金 120 天津 2014 年 11 月集成电路设计产业促进专项基金每年 2 亿 数据来源 : 各公司官网综合整理, 广发证券发展研究中心 3.3 国内企业有所建树, 仍需客观正视差距在半导体产业化浪潮趋势下, 国内半导体装备企业开始有所建树 为推动我国半导体设备制造的技术升级, 国家出台了科技重大专项之 极大规模集成电路制造装备与成套工艺专项 (02 专项 ), 半导体设备也以走上了国产化道路 目前, 我国 IC 设备制造已实现从无到有 从低端到中高端的突破, 如中微半导体的 28nm 15nm 等离子体介质刻蚀机 沈阳拓荆的 12 英寸 65nm 的 PECVD 设备 北京华创 28nm 离子注入机等 今年以来, 一批新兴的半导体设备企业开始走入资本市场, 如至纯科技 长川科技等, 在封装测试 高纯工艺设备 检测设备等领域有所斩获 国产优势装备企业的崛起完善了国内半导体产业链, 也为其他半导体设备的国产化打下了良好基础 表 16: 通过工艺考核与产线验证的国产重大装备统计 设备领域 序号 设备名称 技术水平 研制单位 硅材料 1 12 英寸硅片单片化 CMP 设备 中电集团 45 所 2 12 英寸集成电路立式氧化炉 28nm 北方华创 晶圆制造 3 8 英寸熔硅单晶炉 晶盛机电 4 金刚线单晶硅棒切磨加工一体机 晶盛机电 5 12 英寸硅片多线切割机 中电集团 45 所 6 等离子体浸没硅表面处理设备 中科院微电子 7 12 英寸介质刻蚀机 28nm 中微半导体 晶圆加工 8 双反应台刻蚀除胶一体机 中微半导体 9 12 英寸去耦合反应等离子体刻蚀机 28-15nm 中微半导体 英寸中束流离子注入机 90-65nm 北京中科信 英寸低能大束流离子注入机 28nm 北京中科信 36 / 49

37 行业深度 机械设备 英寸金属物理气相沉积系统 28nm 北方华创 英寸等离子硅刻蚀机 28nm 北方华创 14 PECVD 设备 65nm 沈阳拓荆 15 全自动装片机 大连佳峰 16 粗铝丝全自动打线机 大连佳峰 17 芯片自动测试分选机 格兰达技术 18 8 英寸晶圆减薄机 中电科 19 8 英寸全自动划片机 中电科 封装 20 芯片倒装键合设备 中电科 吨全自动封装系统 铜陵富仕三佳 22 在现实等离子清洗设备 中电集团 2 所 23 全自动硅片分选设备 中电集团 48 所 24 全自动金属膜剥离机 中电集团 45 所 25 掩膜清洗设备 90nm 瑞泽微电子 26 光学尺寸测量设备 28nm 睿励科学仪器 27 清洗机 盛美半导体 数据来源 : 2015 年我国半导体设备和半导体材料业现状分析,2016 中国半导体市场年会, 赛迪智库, 广发证券发展研究中心虽然在 02 专项的支持下, 国产设备实现了一定程度的突破, 但与国际先进水平差距依然巨大 现在世界集成电路设备研发水平处于 12 英寸 7nm, 生产水平则已经达到 12 英寸 14nm; 而中国设备研发水平还处于 12 英寸 14nm, 生产水平为 12 英寸 65-28nm 就现状看, 目前国内设备制造业与国外先进水平的差距明显, 国内设备厂商尚无法与国外公司在技术上形成对垒 我们认为主要原因有两点 : 1. 集成电路设备行业是典型的技术密集型行业, 产品的工艺和制造技术难度高 技术研发周期较长, 这需要长时间的技术积累, 短时间的爆发式增长难以实现技术赶超, 因此国产半导体设备更多的集中于中低端市场 同时, 由于 IC 产品价值量非常高,IC 生产企业在选择设备供应商的问题上十分慎重, 他们通常对设备供应商的工艺经验 技术水平 商业信用进行严格考核, 一旦建立起合作关系就不会轻易更换设备上, 国产设备无法进入国际一流产线 2. 技术封锁 美国 韩国 日本等 33 个国家签署了瓦圣那协议, 禁止向包括中国在内的部分国家出口最先进的芯片技术, 而中国能引进的都是落后两代以上的技术, 导致国内的技术主要通过自主创新完成, 一些核心设备只能使用低级别零部件, 直接阻碍了中国半导体技术和市场的发展 晶圆制造高端装备以来严重依赖进口, 大陆设备自制比例很低 回顾我国半导体设备的发展历程,2011 年之前基本依靠进口, 我国设备的自制率仅为 3.9% 近几年在 02 专项的带动下, 我国设备的自制率上升到 17% 左右 但这些设备更多集中于后道的封装测试设备, 技术含量更高的前道设备依然依赖进口 2015 年我国半导体设备进口中, 光刻机 刻蚀机和 CVD 设备的比例分别为 14% 23 25% 由于高端半导体设备知识产权壁垒很高, 国内企业大多数缺乏高端人才组成的领军团队, 缺乏对现有的专利进行全面分析, 也就很难有自己独立的知识产权去开发高端的电子专用设备 由于使用国产高端半导体设备要比使用进口设备承担更大的风险责任, 国产高端半导体设备的推广应用难度很大, 导致国产高端半导体设备产业化进程缓慢, 37 / 49

38 国产化道路漫长 行业深度 机械设备 图 39:2015 年中国大陆主要半导体设备进口情况 离子注入机 5% 氧化炉 7% 切割机 PVD 4% 5% 其他 7% 光刻机 14% 刻蚀机 23% 引线键合机 10% CVD 25% 数据来源 : 中国半导体设备制造业 2015 年经济运行分析和 2016 年展望, 广发证券发展 研究中心 图 40: 我国大陆半导体设备市场规模 ( 亿美元 ) 及自制比例 市场规模 自制比例 50 20% 40 16% 30 12% 20 8% 10 4% % 数据来源 : 2015 年我国半导体设备和半导体材料业现状分析, 广发证券发展研究中心 38 / 49

39 四 国产设备奋力前行, 分享产业投资红利 行业深度 机械设备 4.1 北方华创 : 国内 IC 高端工艺装备龙头公司是国内半导体设备领头羊, 形成多元化产品布局 公司的前身是七星电子, 是北京电子控股有限责任公司整合原国营 700 厂 706 厂 707 厂 718 厂 797 厂 798 厂的优质资产和业务而成立, 主营半导体装备及精密电子元器件业务 2016 年, 七星电子通过向大基金等非公开增发募集 9.24 亿元, 完成与北方微电子的重组, 更名为北方华创 重组后的公司产品布局进一步完善, 新增刻蚀机 物理气相沉积设备 (PVD) 以及化学气相沉积设备 (CVD) 目前, 公司的产品以晶圆制造和新进封装为核心, 形成了覆盖泛半导体行业的三大产品布局, 分别是以等离子刻蚀 PVD/CVD 设备为主的半导体设备 以搅拌机为主的锂电设备和以真空热处理 晶体生长为首的真空设备 公司是国内规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商, 基本涵盖半导体生产前处理各关键工艺装备, 并成功引进国家集成电路产业基金 京国瑞基金及芯动能基金等战略投资者, 实现了产业与资本的融合 受益晶圆厂浪潮, 公司业绩进入高速增长期 在收购了北方微电子后, 公司经营业绩大幅增长,2017 上半年收入 10.5 亿元, 同比增长 49%, 实现归母净利润 5279 万元, 同比增长 30% 公司的主要收入来源于半导体设备, 目前已推出了全面市场化的高端设备产品, 技术优势突出 其中,28nm 制程设备已经进入国内先进生产线供应体系, 同时正在研发 14nm 制程设备, 为国内半导体最前沿技术 国产设备逐步实现了进口替代, 未来有望充分受益本轮晶圆厂建设潮 图 41: 北方华创三大产品布局 数据来源 : 公司公告, 广发证券发展研究中心 39 / 49

40 行业深度 机械设备 图 42: 北方华创营业收入 ( 亿元 ) 及同比 图 43: 北方华创收入构成 营业收入 同比 60% 真空设备 8% 锂电设备 3% 其他业务 1% % % 0% 电子元件 33% 半导体设备 55% H1-20% 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 公司承担国家重大科技专项任务, 实现技术突破 作为国家 02 重大科技专项重点承担单位, 公司逐步完成了刻蚀机 磁控溅射 氧化炉 低压化学气相沉积 清洗机 原子层沉积等集成电路设备 90/55/40/28nm 工艺验证, 实现产业化 其中, 自主研制的 NMC 612 高密度等离子刻蚀机正式进入中芯国际北京 12 英寸工厂生产线, 应用于 纳米硅栅刻蚀和浅槽隔离刻蚀等工艺制程 ;28nm PVD 设备成为中芯国际 28 纳米生产线基线 (Baseline) 配置产品 另外, 刻蚀 (ETCH) 单片退火系统 化学气相沉积 (CVD) 三大类集成电路设备进入 14nm 工艺验证阶段, 首次实现与国外设备同步验证 表 17: 北方华创承接国家重大科技专项统计 序号 项目 1 14nm 立体栅等离子体刻蚀机研发及产业化 nm 原子层沉积系统 (ALD) 产品研发及产业化 nm CuBS 多工艺腔室集成装备研发及产业化 4 100nm 高密度等离子刻蚀机研发与产业化项目 nm 刻蚀机研发与产业化项目 nm 立式氧化炉 / 质量流控制器研发及产业化项目 7 65nm 超精细清洗设备研制与产业化项目 nm 铜互连清洗设备产业化项目 nm LPCVD 设备产业化项目 nmPVD 设备研发与产业化项目 nm 栅刻蚀机研发与产业化项目 数据来源 : 公司公告, 广发证券发展研究中心我们认为, 在国家重大专项的引领下, 国内优秀半导体设备厂商将逐步打破国外的技术封锁, 这种技术差距已经缩小至 1-2 个技术代, 在一些特定领域已经达到了同步验证水平 随着产品种类的丰富 技术逼近国际水平, 北方华创将进一步满足客户 40 / 49

41 行业深度 机械设备的工艺需求, 并将凭借劳动力成本优势, 逐步实现设备国产化替代 公司风险提示 : 晶圆厂投资不及预期 ; 行业周期性变化 ; 公司新产品放量不及预期 ; 新技术路线替代风险 4.2 长川科技 : 后道检测设备领先企业 深耕半导体检测设备 长川科技自成立以来一直专注于半导体检测设备领域, 主要产品包括检测机和分选机等, 合计占主营业务收入的 96% 公司提出打造中国集成电路测试设备领军品牌的目标, 旗下设有北京研发中心 常州子公司等, 自 2012 年以来, 公司测试机与分选机产销势头良好, 营业收入逐年提升 2017 上半年营收 6536 万元, 同比增长 44% 图 44: 长川科技营业收入 ( 万元 ) 图 45: 长川科技收入构成 营业收入 同比 160% 其他业务 4% % 80% 40% 测试机 36% 分选机 60% H1 0% 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 检测设备在三大环节均有应用 IC 生产需经过几十步甚至几百步的工艺, 其中任何一步的错误都可能是最后导致器件失效的原因 由于晶圆生产附加值极高, 若在后道工序检测出质量不合格所带来的损失巨大, 因此需要在设计 制造过程也加入检测环节, 用以提高芯片制造水平 目前本公司产品主要为测试机和分选机 集成电路的测试主要包括芯片设计中的设计验证 晶圆制造中的晶圆检测和封装完成后的成品测试 无论哪个阶段, 要测试芯片的各项功能指标必须完成两个步骤, 一是将芯片的引脚与测试机的功能模块连接起来, 二是要通过测试机对芯片施加输入信号, 并检测芯片的输出信号, 判断芯片功能和性能指标的有效性 测试机是检测芯片功能和性能的专用设备, 测试机对芯片施加输入信号, 采集被检测芯片的输出信号与预期值进行比较, 判断芯片在不同工作条件下功能和性能的有效性 分选机和探针台是将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的专用设备 在设计验证和成品测试环节, 测试机需要和分选机配合使用 ; 41 / 49

42 在晶圆检测环节, 测试机需要和探针台配合使用 行业深度 机械设备 图 46: 半导体产业链中检测设备应用环节 数据来源 : 招股说明书, 广发证券发展研究中心 图 47: 我国集成电路封装测试销售额 ( 亿元 ) 图 48: 我国集成电路市场构成 封装测试 同比 % 160% 120% 80% 40% 0% 封装测试 36% 制造 26% 设计 38% 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 国产封装测试企业已形成一定竞争力 国际先进技术的进入带动我国封测技术的不断提高, 当前国内封测产业呈现外商独资 中外合资和内资三足鼎立的局面, 长电科技 华天科技 通富微电等内资企业已进入全球封测企业前 20 名, 并通过海外收购或兼并重组等方式不断参与到国际竞争中, 如长电科技于 2015 联合国家大基金 芯电半导体收购了全球第 4 大封装测试企业星科金朋, 整体实力大幅提升 ; 华天科技于 2015 年完成对美国 Flip Chip International 公司 100% 股权收购, 进一步提高了其在国际市场的竞争能力 ; 通富微电于 2016 完成了对超威半导体苏州公司及 AMD 槟城各 85% 股权的收购, 先进封装产能得到大幅提升 目前封装测试业已成为我国集成电路产业链中最具有国际竞争力的环节 2016 年大陆半导体封装测试的销售额为 1564 亿元, 同比增长 113%, 占我国集成电路的 36% 随着本土封测企业规模不断扩大, 42 / 49

43 行业深度 机械设备封测环节的设备有望率先实现国产化替代过程, 为公司测试设备带来了更大的市场空间 公司客户以中国内地电子产品龙头企业为主, 包括长电科技 华天科技 通富微电 士兰微 华润微电子 日月光等多个一流集成电路企业 2014 年 2015 年 2016 年公司对前五名客户的销售额占同期营业收入的比例分别为 79.74% 83.27% 76.82%, 年, 长电科技和华天科技两家客户始终占据公司销售总额的 50% 以上, 客户集中度较高, 是国内为数不多的可以自主研发 生产集成电路测试设备的企业 表 18: 长川科技各年前五大客户 年份 客户 销售额 / 万元 比例 华天科技 % 长电科技 % 2016 杭州士兰微 % 张家港利恒光微电子科技有限公司 % 通富微电 % 长电科技 3, % 华天科技 3, % 2015 通富微电 % 华润微电子 % 杭州士兰微 % 长电科技 2, % 华天科技 1, % 2014 通富微电 % 杭州士兰微 % 无锡宏胡微电子 % 数据来源 : 招股说明书, 广发证券发展研究中心 公司风险提示 : 晶圆厂投资不及预期 ; 行业周期性变化 ; 公司新产品放量不及预期 ; 新技术路线替代风险 4.3 至纯科技 : 高纯工艺系统领域领先企业公司专注于高纯工艺系统, 主要为先进制造业企业提供高纯工艺系统的整体解决方案, 应用行业主要包括泛半导体产业 ( 集成电路 平板显示 光伏 LED 等 ) 光纤 生物制药和食品饮料行业等需要对工艺流程进行制程污染控制的产业 公司从创立伊始, 客户集中在发展较快的医药行业,2008 年起公司逐步将业务中心转向新兴的光伏产业 随后国家迎来了光伏产业投资浪潮, 公司也凭借抢先布局迎来了一轮快速成长期, 成为高纯工艺系统龙头 2014 至今, 随着国家愈发重视半导体产业发展, 公司再次前瞻性布局新领域, 经营业绩也进入了爆发期 2017 上半年半导体整体行业实现突破, 公司实现营业收入 1.58 亿元, 同比增长 46%, 其中半导 43 / 49

44 行业深度 机械设备 体设备收入 8026 万元, 占比超过 50%; 实现净利润 2500 万元, 净利率维持在 16% 的 高水平 图 49: 至纯科技营业收入 ( 万元 ) 图 50: 至纯科技净利润 ( 万元 ) 及净利率 营业收入 同比 净利润 净利率 % % % % % % % % % % % H1-10% H1 0% 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 高纯工艺是影响半导体产品精度和良率必要条件 目前, 高纯工艺广泛应用于泛半导体行业, 包括集成电路 平板显示 光伏 LED 等等 以半导体行业为例, 核心工艺主要为光刻 刻蚀 CVD 镀膜 掺杂工艺等, 这些环节都会用到化学品和特种气体, 对纯度具有很高的要求 通过控制高纯工艺介质 ( 气体 化学品 水 ) 的纯度, 以实现其制程精度要求, 保障并提升产品良率, 下游先进制造行业的高纯工艺系统直接影响了工艺设备的运行及投产后的成品率 图 51: 高纯工艺在泛半导体核心工艺中的应用 数据来源 : 招股说明书, 广发证券发展研究中心 公司高纯工艺产品布局全面 高纯工艺系统由专用设备 管道 仪表等组成, 系统 的前端连接高纯介质储存装置, 终端连接客户自购的工艺生产设备, 并将工艺所需 44 / 49

45 行业深度 机械设备的高纯介质稳定传输至终端设备而不受到污染 公司目前的工艺设备已经涵盖了医药 光伏 LED 半导体等产业, 包括清洗机 灌装线 蒸发柜 真空系统等, 满足客户的多样化需求 衡量高纯工艺系统的核心指标为不纯度控制级数, 最初高纯工艺系统实现的纯度控制为 ppm( 百万分之一 ) 级 随着科学发展和技术进步, 生产工艺对纯度的要求逐步提高, 纯度控制从 ppm 逐步发展到 ppb( 十亿分之一 ) 及更高级别 目前, 公司的 ppb 以下级控制技术即量子级不纯物控制技术已实现了量产, 通过高纯工艺系统实现不纯物控制是关键 表 19: 至纯科技高纯工艺设备 序号项目功能与用途 GMP 清洗机 GMP 清洗机用于生物制药企业无菌制剂车间器具的 清洗和干燥 ( 过程全自动运行 ), 使用人工方式进出器 具, 并且配备定制化的清洗架 无菌灌装联动线 由洗瓶机 灭菌烘箱 带隔离罩的灌装机 轧盖机组成, 完成注射剂的无菌灌装 在任何情况下都要求灌装机分装的药液量必须在药品处方质量标准规定的误差范围内 蒸发气柜 蒸发气柜是用于管内法 (PCVD,MCVD) 沉积工艺 生产光纤预制棒芯棒的气体输送装置, 高精度的质量 流量控制技术提高气体输送的准确性和可重复性 自动成型真空系统 自动成型真空系统设备是用于液晶行业和汽车行业的原材料物理发泡和预压环节, 在设备内部真空环境下自动成型后传输出所需成型原材料的设备 产品适用于半导体行业 数据来源 : 公司官网, 广发证券发展研究中心 公司风险提示 : 晶圆厂投资不及预期 ; 行业周期性变化 ; 公司新产品放量不及预期 ; 新技术路线替代风险 4.4 晶盛机电 : 单晶设备龙头, 实现半导体业务突破 晶盛机电是国内晶体硅生长设备产业龙头企业, 主要产品分为单晶硅生长炉 多晶 45 / 49

46 行业深度 机械设备硅铸锭炉 蓝宝石晶体炉等, 占到公司营业收入的 80% 以上, 广泛应用于半导体 光伏 LED 等领域 2008 年之后的 5 年期间, 光伏行业经历了投资 建厂的浪潮, 导致行业产能过剩, 公司业绩也跌倒了谷底 2013 年, 我国开始加强对光伏行业的支持和补贴, 同时伴随单晶硅渗透率的提高, 公司重新进入上升周期, 到了 2016 年销售收入达到 亿元, 创历史新高 2017 年上半年, 公司总营收 8.09 亿元, 同比增长 92% 其中, 晶体硅生长设备以 234% 的增幅成为业绩增长的主要增长点 此外, 拓展于 2015 年的蓝宝石材料板块营收持续走高, 达到 0.36 亿元, 同比增长 286% 公司净利润也相应增长, 上半年归母净利润达到 1.42 亿元, 同比增幅 88% 通过持续的技术研发投入, 公司的炉体设备技术不断进步和升级, 在经历了行业大浪淘沙后脱颖而出, 成为龙头设备企业 图 52: 晶盛机电营业收入 ( 万元 ) 图 53: 晶盛机电营业构成 营业收入 同比 200% 150% 100% 蓝宝石材料 4% LED 智能化装备 5% 光伏智能化装备 4% 其他业务 5% % % -50% 晶体硅生长设备 82% H1-100% 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 晶体硅生长设备是公司立业之本, 不断加码半导体设备 公司先后开发出拥有完全自主知识产权的直拉式全自动晶体生长炉 铸锭多晶炉产品, 突破了高端单晶硅生长炉被国外企业垄断的格局 目前, 公司已成功研发可拉制 12 英寸 8 英寸电子级单晶硅棒, 可应用于半导体产业, 成为国内半导体级最大直径单晶硅生长设备, 已经具备了半导体单晶硅生长和加工设备的供应能力 根据招股书的披露,2013 年以来公司主要产品持续扩产, 销量亦一路走高 2016 年公司全自动单晶硅生长炉产量 396 台, 同比增长 110%, 销量 398 台, 同比增长 146%; 多晶硅铸锭炉产量 107 台, 同比增长 66%, 销量 108 台, 同比增长 37% 46 / 49

47 行业深度 机械设备 图 54: 晶盛机电半导体制造设备 数据来源 : 公司官网, 广发证券发展研究中心 图 55: 晶盛机电全自动单晶硅生长炉产能及销量 图 56: 晶盛机电多晶硅铸锭炉产能及销量 库存 ( 台 ) 产量 ( 台 ) 销量 ( 台 ) 库存 ( 台 ) 产量 ( 台 ) 销量 ( 台 ) 数据来源 :Wind, 广发证券发展研究中心 数据来源 :Wind, 广发证券发展研究中心 单晶硅棒和硅片是半导体和光伏产业的基本材料 单晶硅棒是由多晶硅原料加热熔 化, 在放入仔晶后利用提拉法等长晶技术制成, 再经过线切割机进行切割加工, 即 可进入后道晶圆加工或电池加工流程 近年来, 国产单晶硅生长炉设备行业发展迅速, 已经占据绝大部分的国内市场份额 首先, 国内单晶硅生长炉设备领域技术进步明显, 逐步解决了单晶硅生长炉的关键技术难题, 可以满足太阳能光伏晶体硅制备的需求 其次, 国产设备相比进口设备拥有明显的成本优势, 行业平均价格只有进口设备的 1/36 根据招股书的披露, 公司生产的全自动单晶硅生长炉产品主要服务于行业排名前列的大型客户, 产品销售价格虽然明显高于其他国内厂商, 但售价仍然只有国外同类设备的 2/3 左右 因此, 国产设备可以大大降低国内硅片企业的设备投资成本, 已经占据了光伏市场的绝大部分份额 最后, 随着半导体产业加速向中国转移, 晶圆厂建设大潮来临, 国产企业在一些关键工艺已经实现技术突破 作为晶体硅生长设备的龙头企业, 公司有望 47 / 49

48 提高国产设备在半导体行业的渗透率 行业深度 机械设备 图 57: 单晶硅片加工流程 数据来源 : 公司公告, 广发证券发展研究中心 表 20: 国内外晶体硅生长设备主要厂商设备类型 国内主要厂商 国外主要厂商 单晶硅生长炉 晶盛机电 京运通 天龙光电 理工晶科 汉虹精机等 美国 Kayex 德国 PVA TePla 等 多晶硅铸锭炉 晶盛机电 京运通 精功科技等 美国 GT Solar 德国 ALD 等 数据来源 : 招股说明书, 广发证券发展研究中心 公司风险提示 : 晶圆厂投资不及预期 ; 行业周期性变化 ; 公司新产品放量不及预期 ; 新技术路线替代风险 五 投资建议 投资建议 : 电子产品的崛起加快了半导体行业向中国大陆转移, 投资浪潮来临, 也带来了设备国产化的良机 随着一批优秀的国内企业开始在各个制程环节切入, 设备行业迎来了从 0 到 1 的布局时点 个股而言, 我们建议关注关注高端 IC 工艺装备龙头北方华创 ( 广发电子覆盖 ) 检测设备领先企业长川科技 高纯工艺龙头至纯科技和单晶设备龙头晶盛机电 ( 与广发电新联合覆盖 ) 等 六 风险提示 晶圆厂投资不及预期 ; 行业周期性变化 ; 设备国产化不及预期 48 / 49

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 ( 2018-02-26 TMT 芯片国产化大涨 : 政府大基金投入终 结果, 国产替代趋势不可逆 核心提示 芯片国产化指数大涨 : A 股芯片国产化概念板块 (884160.WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 (300474.SZ) 国科微(300672.SZ) 上海新阳 (300236.SZ) 北方华创(002371.SZ) 江丰电子 (300666.SZ)

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

untitled

untitled ... 1... 1... 3... 4... 6... 6... 6... 8... 8... 9... 10... 12... 12... 12... 14... 14... 15... 15... 15... 16... 18... 18... 18... 20... 22... 23 I ... 25... 26... 27... 28... 30... 30... 31... 33...

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化 2015 年上海工程技术大学本科分数线查询 学院 湖南辽宁新疆 最高分最低分一本线二本线最高分最低分一本线二本线最高分最低分一本线二本线 机械工程 468 458 446 381 能源与环境系统工程 504 501 500 419 449 444 446 381 机械设计制造及其自动化 ( 现代装备与控制工程 ) 计算机科学与技术 自动化 535 534 526 455 475 449 446 381

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

36 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 2 11:20-11:55 37 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 广东 2 13:00-13:35 38 SQ2016YFHZ 能源相关方

36 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 2 11:20-11:55 37 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 广东 2 13:00-13:35 38 SQ2016YFHZ 能源相关方 项目序号 重点研发计划视频评审战略性国际科技创新合作重点专项答辩项目信息 项目编号分组名称答辩日期答辩地点答辩时间 1 SQ2016YFHZ020873 城镇化与公共安全相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 1 9:00-9:35 2 SQ2016YFHZ021362 城镇化与公共安全相关方向组 7 月 17 日 ( 星期一 ) 江苏 1 9:35-10:10 3 SQ2016YFHZ021367

More information

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头,

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头, 机械设备行业行业报告行业深度报告 投资评级 : 推荐 ( 首次 ) 报告日期 :2018 年 06 月 26 日分析师曲小溪 010-88366060-8712 Email:quxx@cgws.com 执业证书编号 :S1070514090001 张如许 0755-83559732 Email:zhangruxu@cgws.com 执业证书编号 :S1070517100002 联系人 ( 研究助理

More information

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半 218 年 4 月 1 日中小盘研究 半导体研究系列之二 ( 设备 ): 星星之火, 燎原之势渐起 中小盘伐谋主题伐谋 - 中小盘主题报告 孙金钜 ( 分析师 ) 吴吉森 ( 联系人 ) 21-68866881 sunjinju@xsdzq.cn 证书编号 :S2851812 21-68865595 wujisen@xsdzq.cn 国内半导体迎来新投资周期, 半导体设备市场持续向好 : 当前我国集成电路产品对外依存度较高,

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63>

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63> 上海工业自动化仪表研究所 上海西派埃温度仪表公司 前言 上海西派埃温度仪表公司系上海工业自动化仪表研究所温度测量仪表部创建的高科技型经济实体, 为温度仪表试验设备与温度控制系统专业生产单位 上海工业自动化仪表研究所温度测量仪表部从事温度测量仪表 温度仪表试验设备及温度控制系统的研究开发已有 40 多年历史, 不仅具有一支在研究开发 生产制造各类温度仪表 试验设备都极具丰富经验的技术队伍, 而且有国内外先进的试验条件与测试装备,

More information

公司研究报告

公司研究报告 证券研究报告 公司研究 / 首次覆盖 2017 年 05 月 11 日机械设备 / 专用设备 Ⅱ 投资评级 : 买入 ( 首次评级 ) 当前价格 ( 元 ): 48.48 合理价格区间 ( 元 ): 60~65 章诚 执业证书编号 :S0570515020001 研究员 021-28972071 zhangcheng@htsc.com 张騄 执业证书编号 :S0570515060001 研究员 021-28972073

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

东吴证券研究所

东吴证券研究所 证券研究报告 行业研究 食品饮料行业 食品饮料周报 + ( ) : 3.8% 1% 1.7% 17 : 1 1 14 217 4 16 S651592 mahb@dwzq.com.cn 21-6199762 1 688716 2 217413 17Q1 2 + 15%+18%+ 17Q1 + 217411 15%+35%+ 3 6872 2 + +3% 1 217411 2 4 6327 + 217411

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

北京七星华创电子股份有限公司2015年年度报告摘要

北京七星华创电子股份有限公司2015年年度报告摘要 证券代码 :002371 证券简称 : 七星电子公告编号 :2016-019 北京七星华创电子股份有限公司 2015 年年度报告摘要 一 重要提示 本年度报告摘要来自年度报告全文, 为全面了解本公司的经营成果 财务状况及未来发展规划, 投资者应当到证监会指定媒体仔细阅读年度报告全文 董事 监事 高级管理人员异议声明 声明 姓名职务内容和原因 除下列董事外, 其他董事亲自出席了审议本次年报的董事会会议

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需 第三章 中国集成电路产业发展现状 第一节整体状况 2006 年, 中国集成电路产业持续高速发展, 规模首次突破千亿元大关, 达到 1006.3 亿元, 同比增长达到 43.3% ; 从增长速度上看,2006 年集成电路产业市场规模与总产量的同比增幅与 2005 年相比, 均有较大幅度的提高 2006 年, 中国半导体产业 IC 设计 制造和封测三业同步快速发展, 其中 IC 设计业发展更为 迅速,

More information

旅游管理 3 电气自动化技术 3 酒店管理 3 智能控制技术 4 计算机网络技术 2 供热通风与空调工程技术 2 电子信息工程技术 2 汽车检测与维修技术 2 物联网应用技术 2 汽车营销与服务 2 会计 3 软件技术 2 财务管理 2 计算机网络技术 2 金融管理 2 电子信息工程技术 2 工商企

旅游管理 3 电气自动化技术 3 酒店管理 3 智能控制技术 4 计算机网络技术 2 供热通风与空调工程技术 2 电子信息工程技术 2 汽车检测与维修技术 2 物联网应用技术 2 汽车营销与服务 2 会计 3 软件技术 2 财务管理 2 计算机网络技术 2 金融管理 2 电子信息工程技术 2 工商企 广西普通高招计划 商务英语 2 机电一体化技术 2 商务日语 2 工业机器人技术 2 酒店管理 2 智能控制技术 2 电气自动化技术 2 汽车检测与维修技术 2 软件技术 2 汽车电子技术 2 物联网应用技术 2 软件技术 2 数控技术 4 计算机网络技术 2 会计 2 电子信息工程技术 2 财务管理 2 机械制造与自动化 2 工商企业管理 2 模具设计与制造 4 物流管理 2 材料成型与控制技术

More information

电感-中文单页

电感-中文单页 o 360SERVICE comprehensive scheme for the sensor VALUE TECHNOLOGY QUALITY 着眼 大市场 高科技产品 建设国内一流 国际知名的大型综合化传感器及工业自动化产业集团 十余年来的拼搏使兰宝得以持续稳定地发展 完成了一个中国传感器企业由小到大 由弱到强 并迅速走向世界的发展历程 兰宝愿与国内外同行携手合作 共同为全球客户提供优质的产品和服务

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 半导体核心装备龙头迎行业上升良机 北方华创 (002371) 深度报告 报告摘要 : 我们认为未来有三重机遇确保国内半导体迎来发展良机 半导体市场向国内转移 2017 年国内半导体市场销售额为 1315 亿美元, 占全球总销售额的 31.9%, 国内销售额同比增长 22.2%, 高于全球半导 体销售额的 21.6%, 未来几年这种市场转移趋势将持续 ; 半导体制造技术国内得到长足进步

More information

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%,

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%, 半导体设备稀缺平台, 格局优势凸显战略位置 68 方正证券研究所证券研究报告 TMT 首席分析师 : 段迎晟执业证书编号 :S1220514060002 E-mail:duanyingsheng@foundersc.com 七星电子 (002371) 公司投资价值分析报告 2015.03.02 推荐 半导体行业 联系人 : 孙远峰 Email:sunyuanfeng@foundersc.com 分析师

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

网上申购网下申购 公司所处地区 浙江杭州 网上申购日期 网上中签率公告 网上中签结果公告 网上资金解冻 网下申购日期 网下配售结果公告 网下资金退还 新股定价 附件 : 晶

网上申购网下申购 公司所处地区 浙江杭州 网上申购日期 网上中签率公告 网上中签结果公告 网上资金解冻 网下申购日期 网下配售结果公告 网下资金退还 新股定价 附件 : 晶 新股申购策略与新股上市定位 主办 : 财富管理中心 世纪证券 :www.csco.com.cn 资料来源 : 战略联盟 - 天相投资顾问有限公司 2012 年 5 月 1 日 电话 :0755-83199599-8151 风险提示 风险提示 : 尊敬的投资者 : 我们郑重提醒您理性看待市场, 没有只涨不跌的市场, 也没有包赚不赔的投资, 投资者应理解并始终牢记 买者自负 的原则与 股市有风险, 入市须谨慎

More information

况伟大 本文在住房存量调整模型基础上 考察了预期和投机对房价影响 理性预 期模型表明 理性预期房价越高 投机越盛 房价波动越大 适应性预期模型表明 当消费 性需求占主导时 上期房价越高 房价波动越小 当投机性需求占主导时 上期房价越高 房价波动越大 本文对中国 个大中城市 年数据的实证结果表明 预期及 其投机对中国城市房价波动都具有较强的解释力 研究发现 经济基本面对房价波动影 响大于预期和投机 但这并不意味着个别城市房价变动不是由预期和投机决定的

More information

137677_Eta_press Folder-cn用的.indd

137677_Eta_press Folder-cn用的.indd 美卓回收业务线废金属打包机林德曼 EP 系列金属打包机 ( Lindemann Eta Press ) 2 MINAO SAWES Eta Press 1920 1921 1922 1923 1924 1925 1926 1927 1928 1929 1930 1931 1932 1933 1934 1935 1936 1937 1938 1939 1940 1941 1942 1943 1944

More information

上海市机器人行业协会信息 2016 年第 10 期 ( 总第 18 期 ) 编者按 : 聚焦安全协作创新未来推动机器人产业健康发展 加快上海科创中心建设, 助力产业升级 打造上海机器人生态圈和产业升级高地的战略思考 ( 一 ) 创新驱动, 深入探索机器人产业发展新模式 ( 二 ) 协同创新, 实现核心零部件和高端产品重大突破 ( 三 ) 开发共享, 构建长三角机器人产业发展新高地 ( 四 )

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

Microsoft Word _ doc

Microsoft Word _ doc 212 1 4 S1851191 755-82485176 zhengzhenyuan@fcsc.cn 12 3 CNY/USD=6.39 4.. 13. -91. 1. 51. R1 (%) 3.25 R7 (%) 5.32-1 -2-3 -4-5 -6-7 -8 1Y 2Y 3Y 5Y 7Y 1Y 12.31-12.23 2111226 1-11 5.6% (29%) (21.7%) (24.5%)

More information

山西 体育教育 ( 师范类 ) 体育文 368 休闲体育 体育教育 ( 师范类 ) 体育理 350 运动人体科学

山西 体育教育 ( 师范类 ) 体育文 368 休闲体育 体育教育 ( 师范类 ) 体育理 350 运动人体科学 表演 ( 健身健美 ) 艺术文理 346/321 105 437.00 437.00 92.67 92.67 按专业成绩排名录取 北京市英语 538.00 525.00 文史 532/494 新闻学 531.00 529.00 舞蹈表演 ( 大众艺术体操 ) 387.00 280.00 84.40 80.73 舞蹈表演 ( 健美操 ) 278 120 458.00 296.00 87.56 81.30

More information

目录 1 集成电路国产化迫在眉睫, 装备需求大周期来临 自给能力严重不足, 缺芯之痛 亟待解决 国家意志推动, 国内芯片产能将大幅提升 产能扩张带动设备投资需求提升, 国产设备商迎来发展契机 进口替代遵循 先易后难 路径, 测试设备

目录 1 集成电路国产化迫在眉睫, 装备需求大周期来临 自给能力严重不足, 缺芯之痛 亟待解决 国家意志推动, 国内芯片产能将大幅提升 产能扩张带动设备投资需求提升, 国产设备商迎来发展契机 进口替代遵循 先易后难 路径, 测试设备 国产 IC 测试装备先锋, 步入加速成长周期 方正证券研究所证券研究报告 长川科技 (300604) 机械设备行业 公司研究 公司深度报告 2018.02.06/ 强烈推荐 ( 调升 ) 首席分析师 吕娟 执业证书编号 : S1220517020002 TEL: 021-68388509 E-mail lvjuan@foundersc.com 联系人 : 李远剑 TEL: 021-50432679

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 泛半导体产业的 卖铲人 七星电子 (002371) 深度报告 报告摘要 : 晶圆厂建设浪潮直接受益者 下游扩产引发半导体设备需求爆发, 预计在 2017 年上半年开始, 设备行业逐步进入订单爆发期 十三五期间, 半导体设备国产化率将提升至 30% 以上, 七星电子是集成电路领域氧化炉 清洗机 刻蚀机 PVD 等设备的唯一国内供应商, 是国内厂商蛋糕份额提高的直接受益者

More information

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074>

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074> 全球增速减缓, 中国风景独好 2008 年下半年半导体行业投资策略 天相资讯科技研究组赵磊 2008 年 6 月 21 半导体器件是元器件的重要组成部分 电子元器件行业的分类 半导体器件行业 : 1. 分为分立器件和集成电路 ; 2. 决定了电子产品的质量与性能 ; 3. 电子信息产业的重要组成部分 ; 4. 高科技 资本密集型行业 ; 5. 是信息产业的支柱 22 半导体产业产业链示意 我们通常提及的半导体产业除了半导体器件

More information

省份批次科类录取专业招生数 录取 最低分 备注 艺术 音乐学 ( 地方免费师范生 ) 专业成绩 美术学 ( 地方免费师范生 ) 综合成绩 提前艺术体育本 科 提前一批本科 体育 ( 文 ) 体育 ( 理 ) 文史 体育教育 ( 地方免费师范生 ) 专

省份批次科类录取专业招生数 录取 最低分 备注 艺术 音乐学 ( 地方免费师范生 ) 专业成绩 美术学 ( 地方免费师范生 ) 综合成绩 提前艺术体育本 科 提前一批本科 体育 ( 文 ) 体育 ( 理 ) 文史 体育教育 ( 地方免费师范生 ) 专 省份批次科类录取专业招生数 录取 最低分 备注 艺术 音乐学 ( 地方免费师范生 ) 31 81.1 专业成绩 美术学 ( 地方免费师范生 ) 30 593.7 综合成绩 提前艺术本 科 提前一批本科 ( 文 ) ( 理 ) 教育 ( 地方免费师范生 ) 12 67.4 专业成绩 社会指导与管理 5 67.4 专业成绩 教育 33 61.9 专业成绩 教育 ( 地方免费师范生 ) 15 68.9 专业成绩

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

山 东 省 重 点 行 业 技 术 发 展 白 皮 书 ( 二 ) 山 东 省 经 济 和 信 息 化 委 员 会 2016 年 7 月 前 言 推 进 供 给 侧 结 构 性 改 革, 必 须 牢 固 树 立 创 新 发 展 理 念 面 对 经 济 发 展 新 常 态 和 新 一 轮 全 球 产 业 变 革, 全 省 工 业 战 线 主 动 响 应 国 家 战 略, 积 极 调 整 发 展 思

More information

<4D F736F F D D36A1A2B1B1BEA9CAD0BDF0B6C5C2C9CAA6CAC2CEF1CBF9B9D8D3DAB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1B2A2D4DAB4B4D2B5B0E5C9CFCAD0D6AEB2B9B3E4B7A8C2C9D2E2BCFBCAE9A3A8CEE5A3A92E646F63>

<4D F736F F D D36A1A2B1B1BEA9CAD0BDF0B6C5C2C9CAA6CAC2CEF1CBF9B9D8D3DAB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1B2A2D4DAB4B4D2B5B0E5C9CFCAD0D6AEB2B9B3E4B7A8C2C9D2E2BCFBCAE9A3A8CEE5A3A92E646F63> 12 2014 6 18 2015 5 25 140697 2016 2 26 2016 3 15 140697 3-3-1-6-2 1 11 3-3-1-6-3 1 2011 9 15 41 2015 2015 12 31 中央汇金投资有限责任公司 60% 深圳报业集团 64.02% 中国银行股份有限公司 A+H 股上市公司 100% 中银国际控股有限公司出资 5,100 万 间接持有 100%

More information

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7 / / 证券研究报告 七星电子 (002371) 投资价值分析报告 收购北方微电子, 打造国产半导体设备龙头 公司研究 电子行业 2016 年 3 月 21 日买入 ( 首次 ) 投资要点 大基金 助力收购优秀半导体设备公司北方微电子 七星电子是 A 股唯一国产半导体设备公司, 是国内立式氧化炉和晶圆清洗机龙头 公司拟增发 9.24 亿元股票 以 2016 年 15 倍 PE 从母公司北京电控收购国内硅刻蚀

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

中国社会科学 年第 期,,. % 1,,,. %,. % 2,, %, ;,,,, 3,,,, 4 ( ) ( ) ( ) (),, %, 5,,,,,,,,, 1 :,, ://.. / / - / /., 2 :,, 3 :, 4,,, 5 ( ),,, ( ),, ( ), ( ), ( );

中国社会科学 年第 期,,. % 1,,,. %,. % 2,, %, ;,,,, 3,,,, 4 ( ) ( ) ( ) (),, %, 5,,,,,,,,, 1 :,, ://.. / / - / /., 2 :,, 3 :, 4,,, 5 ( ),,, ( ),, ( ), ( ), ( ); 近年来农民工的经济状况和社会态度 李培林李炜 : 改革开放 年来, 农民工作为中国产业工人的组成部分, 成为支撑中国经济持续快速增长的重要力量 基于 年和 年 中国社会状况综合调查 的数据, 对近年来 特别是在国际金融危机背景下农民工的经济状况和社会态度进行分析, 结果显示, 年数据反映出农民工在收入水平较低 劳动强度较高的情况下, 却保持着较为积极的社会态度 ; 近两年的新变化是, 农民工的收入水平和社会保障水平都有了显著的提高,

More information

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了 2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了富士通 日立 东芝 NEC 等世界顶级的集成电路 制造商 ;2) 第二次 :20 世纪 80 年代中后期,

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

厦门大学 2010 年本科招生分省录取情况统计 省份 科类 本一线出档线最高分 最低分 平均分 安徽 文史 理工 北京 文史 理工

厦门大学 2010 年本科招生分省录取情况统计 省份 科类 本一线出档线最高分 最低分 平均分 安徽 文史 理工 北京 文史 理工 厦门大学 2010 年本科招生分省录取情况统计 省份 科类 本一线出档线最高分 最低分 平均分 安徽 文史 573 624 631 624 627.9 理工 562 634 655 634 640.7 北京 文史 524 567 620 567 592.5 理工 494 583 648 587 609.3 福建 文史 557 594 622 594 603.5 理工 539 602 650 602

More information

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職 第 二 三 類 投 保 單 位 二 代 健 保 實 務 說 明 會 行 政 院 衛 生 署 全 民 健 康 保 險 局 南 區 業 務 組 說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費

More information

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年 218 年 4 月 25 日北方华创 (2371.SZ) 电子 / 半导体 国内高端半导体装备领跑者, 行业景气助力高增长 北方华创首次覆盖报告首次覆盖报告 郭泰 ( 分析师 ) 陈皓 ( 联系人 ) 吴吉森 ( 联系人 ) 1-83561 guotai@xsdzq.cn 证书编号 :S2851814 1-83561 chenhao1@xsdzq.cn 证书编号 :S2811848 证书编号 :S7411687

More information

Management2.0: Competitive Advantage through Business Model Design and Innovation

Management2.0: Competitive Advantage through Business Model Design and Innovation 2014-2015 年中国半导体产业研究报告 2014-2015 年中国半导体产业研究报告 包含以下内容 : 1 全球半导体市场与产业分析 2 中国半导体市场与产业分析 3 11 家中国 IC 设计企业研究 4 5 家中国晶圆代工企业研究 5 4 家中国封测企业研究 根据中国半导体协会的数据,2014 年整个半导体产业链的总值超过 3000 亿人民币, 但与产品相关的产值只有 1047 亿人民币 (

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 砥砺前行, 开启国产离子注入机新篇章 曾晓斌 北京中科信 2018 年 03 月 16 日 目 录 一 新形势下离子注入机发展需求二 国产离子注入机发展现状三 新一代中束流离子注入机四 发展规划 1 集成电路产业作为国民经济和社会发展的战略性 基础性 先导性产 业, 关乎国家核心竞争力和国家安全 集成电路装备作为构筑集成电路产业的基石, 是核心竞争力的重要组 成部分 中国集成电路产业进入新时代 习近平中国特色社会主义新时代,

More information

Microsoft Word - 600460 士兰微 20120307.doc

Microsoft Word - 600460 士兰微 20120307.doc 阿 2012 年 03 月 07 日 陳 奇 C0050@capital.com.tw 目 標 價 ( 元 ) 13.0 公 司 基 本 信 息 產 業 別 電 子 A 股 價 (12/03/07) 12.33 上 證 綜 合 指 數 (12/03/07) 2394.79 股 價 12 個 月 高 / 低 22.77/8.03 總 發 行 股 數 ( 百 萬 ) 434.08 A 股 數 ( 百 萬

More information

企業策略期中報告2..doc

企業策略期中報告2..doc 1 DRAM...2 I. DRAM...2 II. DRAM...2 III. DRAM...3...15 I....15 II....17 III....19 IV....19...22 I....22 II....22 III....23 IV....23...24 I. DRAM II. DRAM 1. 2 III. DRAM 1. DRAM 3 2. DRAM 4 5 6 3. DRAM

More information

中小市值研究

中小市值研究 2017 年 01 月 11 日中小市值研究评级 : 推荐 ( 维持 ) 研究所 证券分析师 : 代鹏举 S0350512040001 021-68591581 daipj@ghzq.com.cn 联系人 : 姚哲巍 S0350116080001 18221921135 yaozw@ghzq.com.cn 中国半导体产业迈入发展的新阶段 半导体行业专题报告 最近一年行业走势 投资要点 : 30.00%

More information

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月 Tabl e_title Tabl e_baseinfo 2015 年 12 月 27 日 七星电子 (002371.SZ) 半导体究竟什么最赚钱 公告 :1 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权 发行股份价格为 17.49 元 / 股 2 上市公司拟通过向国家集成电路基 金 京国瑞基金和芯动能基金非公开发行股份募集配套资金 点评 : 我们在 14 年年中推出行业深度报告

More information

I 2001年我国计算机制造业的基本运行状况

I  2001年我国计算机制造业的基本运行状况 2001 4 2002 2 WWW.CEI.GOV.CN 2001 2002 2001 3400 21.4% 2502 16.4% 23.9% 25.5% 222.87 650.2 35.8% 27.13% 2001 PC PC 2002 TEL 010 68558557 1 FAX 010 68558370 I2001...2...2...3...5 II2001...6...6...7...7...7

More information

经 济理论与经 济管理 第 期 我 国 汽 车产 业 政 策 和 发 展 战 略 陈建 国 国 家 发 展 与 改 革 委 员会 工 业 司 张宇贤 北京 国 家信 息 中心 发 展 研 究 部 实现 利 润 总 额 结构调整步 伐加快 我 国 汽 车产 业 发 展 基 本 情 况 加人 以来 在 投 资 消 费 的双 重拉 动下 国 汽 车产 业 摆 脱 了 长 达 了 个 快 速 发 展 阶段

More information

股票简称:七星电子 股票代码:002371

股票简称:七星电子      股票代码:002371 股票简称 : 七星电子股票代码 :002371 上市地点 : 深圳证券交易所 北京七星华创电子股份有限公司关于 发行股份购买资产并募集配套资金暨关联 交易之 独立财务顾问 二零一六年五月 北京七星华创电子股份有限公司关于 发行股份购买资产并募集配套资金暨关联交易之 中国证券监督管理委员会 : 2016 年 5 月 18 日, 贵会发布了 并购重组委 2016 年第 35 次会议审核结果公告, 就北京七星华创电子股份有限公司

More information

Sector ― Subsector

Sector ― Subsector 机械设备 证券研究报告 板块最新信息 增持 公司名称 股票代码 收盘价 评级 北方华创 002371.CH 37.45 买入 晶盛机电 300316.CH 20.92 买入 长川科技 300604.CH 56.65 买入 至纯科技 603690.CH 19.49 买入 资料来源 : 万得, 中银证券以 2017 年 12 月 19 日当地货币收市价为标准 主要催化剂 / 事件 下游中芯国际等厂商技术持续突破

More information

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!"#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+, " $ 2 * ' /+ / / / (+ 5 (/(

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+,  $ 2 * ' /+ / / / (+ 5 (/( 第 # 卷第 # 期 # 年 月 =2>3(8 &27& "432"? @543A B 1%&# &&&( %&# 8-*%&&# & - % # CD%1/)* / % # # & # 9&,%&# " &%&./01 &-. # 9& ; ##&&&&&&&&&&&&&&&&&&&&&&& ( -& ; # #; ; & &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& 9CC1/)*

More information

E=MC IC / 31

E=MC IC / 31 2014 9 12 20140417 S1060513090002 RAV4 021-38638123 Fanglei593@pingan.com.cn (2009.3.25) RAV4 (2009.3.25) 燕翔 S1060514050001 021-38631130 Shenshaojie196@pingan.com.cn E=MC2 1... 11 2 IC... 15 3... 17 4...

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

!!

!! 涂正革 肖 耿 本文根据中国 个省市地区 年规模以上工业企业投入 产出和污染排放数据 构建环境生产前沿函数模型 解析中国工业增长的源泉 特别是环境管制和产业环境结构变化对工业增长模式转变的影响 研究发现 现阶段中国工业快速增长的同时 污染排放总体上增长缓慢 环境全要素生产率已成为中国工业高速增长 污染减少的核心动力 环境管制对中国工业增长尚未起到实质性抑制作用 产业环境结构优化对经济增长 污染减少的贡献日益增大

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

贸易一体化与生产非一体化

贸易一体化与生产非一体化 贸易一体化与生产非一体化 基于经济全球化两个重要假说的实证研究 刘志彪 吴福象 改革开放以来 中国贸易一体化水平持续上升 对此虽然可以利用引力模型加以说明 但其解释力是有限的 本文在对世界范围内的贸易一体化进行统计描述和计量检验的基础上 对包括中国在内的东亚经济体的贸易竞争状况进行了结构分析 结果表明 经济体之间的相似程度越高 贸易量越大 贸易一体化程度也越高 通过各种因素的传导 经济体的出口竞争能力增强

More information

表 年北京 伦敦 东京 纽约人口净迁移规模比较 图 1 伦敦 东京 纽约 北京净迁移率 (%) 比较 109

表 年北京 伦敦 东京 纽约人口净迁移规模比较 图 1 伦敦 东京 纽约 北京净迁移率 (%) 比较 109 刘长安 ( 首都经济贸易大学, 北京市 100070) 作为中国的首都和规模接近 2000 万的特大城市, 随着中国对外开放的深化, 以及中国加入世界经济一体化步伐的快步迈进, 北京正在日益向发达国家的国际大都市看齐通过对北京与国际大都市国内人口迁移和国际移民的现状比较研究, 了解北京与国际大都市的异同以及存在的差距, 并探索原因 ; 总结分析北京人口迁移的特点和发展趋势 人口迁移 ; 国际移民 ;

More information

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信 数 字 电 视 普 及 分 阶 段 实 施 2013 年 1 月 22 日 董 长 肜 郭 海 燕 ( 责 ) 李 纬 东 青 伶 俐 专 题 摘 要 近 期 专 题 研 究 目 录 摘 要 专 题 : 国 务 院 出 新 政 力 促 通 用 航 空 1 月 22 日 相 关 政 策 专 题 : 页 岩 气 第 二 批 中 标 结 果 公 布 1 月 22 日 行 业 发 展 专 题 : 前 海 开

More information

01

01 Zebra 技术白皮书 零售业中的可跟踪性 降低 介质成本, 实现最佳价值 概要 简介 我想要的商品在哪里呢? 物品级标签带来了巨大优势 全面的库存管理 100% 2 Zebra (EAS)/ 实际结果 2009 1 27% 21% 53 2 209 提升顾客体验, 提高销售业绩 3 150 100 RF 1. : Bloomingdale 2009 2. 3. ABI Research 2009

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

张成思 本文运用向量系统下的协整分析方法 针对 年不同生产和消 费阶段的上中下游价格的动态传导特征以及货币因素对不同价格的驱动机制进行分析 研究结果表明 我国上中下游价格存在长期均衡关系 并且上中游价格对下游价格具有显 著动态传递效应 而下游价格对中游价格以及中游价格对上游价格分别存在反向传导的 倒逼机制 另外 货币因素对上游价格的动态驱动效果最为显著 但并没有直接作用于下 游价格 因此 虽然货币政策的现时变化可能在一段时间内不会直接反映在下游居民消费价格的变化上

More information

Microsoft Word _ doc

Microsoft Word _ doc 股票研究新股询价定价分析电子元器件 / 信息科技 七星电子 (002371) 国产集成电路制造设备主要提供商 魏兴耘 张慧 0755-23976213 021-38676715 weixy1@gtjas.com zhanghui6820@gtjas. 本报告导读 : 公司是国产集成电路制造设备主要提供商, 在军用混合集成电路和高密度阻容元件领 域具有优势投资要点 : 公司主要产品为大规模集成电路制造设备

More information

行业周报

行业周报 2016 年 08 月 21 日 行 业 研 究 评 级 : 推 荐 ( 上 调 ) 研 究 所 证 券 分 析 师 : 王 凌 涛 S0350514080002 021-68591558 wanglt01@ghzq.com.cn 联 系 人 : 李 虒 S0350115070033 18901056681 lis03@ghzq.com.cn 联 系 人 : 凌 琳 S0350116080013 18201805368

More information

中国科学技术大学 材料工程 05 校外调剂 0870 化学工程 吉林大学 应用化学 05 校外调剂 0870 化学工程 四川大学 制药

中国科学技术大学 材料工程 05 校外调剂 0870 化学工程 吉林大学 应用化学 05 校外调剂 0870 化学工程 四川大学 制药 045700000787 东北大学 07030 分析化学 05 校外调剂 07030 无机化学 68 66 94 04 34 063700000004 沈阳药科大学 07030 分析化学 04 校内跨专业调剂 070303 有机化学 73 56 9 00 30 0007008903 北京大学 0780Z 药学类 05 校外调剂 070303 有机化学 75 67 09 8 4 085750830 苏州大学

More information

晨会纪要

晨会纪要 公司研究 深度 / 调研报告 21 年 3 月 29 日 电子专用设备行业七星电子 (2371) 评级 : 增持 电子行业研究员 李志中 1-888683-675 lizz@rxzq.com.cn 七星电子与沪深 3 指数走势对比图 15% 1% 5% % -5% -1% 1-3 1-3 1-3 1-3 1-3 1-3 1-3 1-3 成交金额七星电子沪深 3 市场数据 :21 年 3 月 29 日

More information

公司深度研究

公司深度研究 公司研究 证券研究报告 industryid 专用设备 增持 ( 首次 ) marketdata 市场数据 报告日期 217-1-11 收盘价 ( 元 ) 28.32 总股本 ( 百万股 ) 458. 流通股本 ( 百万股 ) 35.16 总市值 ( 百万元 ) 1297.68 流通市值 ( 百万元 ) 9916.47 净资产 ( 百万元 ) 3234.61 总资产 ( 百万元 ) 7123.16

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

一 调研说明中商情报网全新发布的 年中国半导体市场分析及发展趋势预测报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料, 结合深入的市场调研资料, 由中商情报网的资

一 调研说明中商情报网全新发布的 年中国半导体市场分析及发展趋势预测报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料, 结合深入的市场调研资料, 由中商情报网的资 2012-2016 年中国半导体市场分析及发展趋势预测报告 Customer Service Hotline:400-666-1917 Page 1 of 32 一 调研说明中商情报网全新发布的 2012-2016 年中国半导体市场分析及发展趋势预测报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料,

More information

江南大学硕士学位论文图像式刀具预调仪测控系统的设计姓名 : 王诣申请学位级别 : 硕士专业 : 机械制造及自动化指导教师 : 张秋菊 ; 尤丽华 20080601 图像式刀具预调仪测控系统的设计 作者 : 王诣 学位授予单位 : 江南大学 本文读者也读过

More information

Microsoft PowerPoint course-1.ppt

Microsoft PowerPoint course-1.ppt 微电子与电路基础 黄如 陈江 北京大学信息科学技术学院 1 微电子与电路基础 课程简介 分立电路 集成电路 课程目的 课程内容 ( 课程提纲 ) 讲授方式 参考书 考核方法 电路方面 课程目的 初步掌握与电路相关的基础知识 数字电路 模拟电路 数模混合电路等基础知识 微电子方面 了解什么是微电子学 历史 现状和未来 ; 初步掌握 半导体物理 半导体器件物理 集成电路工艺集成电路设计及设计自动化 (EDA)

More information

集成电路是现代信息社会的基石, 经过一个多世纪的发展, 集成电路已经在各行各业中发挥着非常重要的作用 全球集成电路产业在经历了产业高速增长和周期性波动的发展过程后, 逐步步入了平稳发展阶段 在一系列政策密集出台的环境和市场强劲需求的推动下, 我国集成电路产业整体保持平稳较快增长, 开始迎来发展的加速

集成电路是现代信息社会的基石, 经过一个多世纪的发展, 集成电路已经在各行各业中发挥着非常重要的作用 全球集成电路产业在经历了产业高速增长和周期性波动的发展过程后, 逐步步入了平稳发展阶段 在一系列政策密集出台的环境和市场强劲需求的推动下, 我国集成电路产业整体保持平稳较快增长, 开始迎来发展的加速 I 投资研究 2016 年 9 月 5 日 2016 年第 39 期 张璐璐 研究员 主要观点 集成电路是现代信息社会的基石, 经过一个多世纪的发展, 集成电路已经在各行各业中发挥着非常重要的作用 全球集成电路产业在经历了产业高速增长和周期性波动的发展过程后, 逐步步入了平稳发展阶段 在一系列政策密集出台的环境和市场强劲需求的推动下, 我国集成电路产业整体保持平稳较快增长, 开始迎来发展的加速期 从集成电路产业链看,

More information

专 业 最高分最低分一本线最高分最低分一本线最高分最低分一本线 临床医学 ( 5+3 一体化 ) 口腔医学 ( 5+3 一体化 )

专 业 最高分最低分一本线最高分最低分一本线最高分最低分一本线 临床医学 ( 5+3 一体化 ) 口腔医学 ( 5+3 一体化 ) 目 录 安徽省 1 北京市 2 福建省 3 甘肃省 4 广西壮族自治区 5 贵州省 6 海南省 7 河北省 8 河南省 9 黑龙江省 10 湖北省 11 湖南省 12 吉林省 13 江苏省 14 江西省 15 辽宁省 16 内蒙古自治区 17 宁夏回族自治区 18 山东省 19 山西省 20 陕西省 21 四川省 22 天津市 23 新疆维吾尔自治区 24 云南省 25 浙江省 26 重庆市 27

More information

省份 科类 本一线 出档线 人数 最高分 最低分 平均分 理 青海 文 理 山东 文 理

省份 科类 本一线 出档线 人数 最高分 最低分 平均分 理 青海 文 理 山东 文 理 厦门大学 2006 年普高招生分省分专业录取分数统计表 省份 科类 本一线 出档线 人数 最高分 最低分 平均分 文 573 600 25 638 601 612.7 安徽 理 566 615 74 653 615 630.1 理 ( 国防生 ) 566 596 12 621 596 607.2 北京 文 516 574 24 605 574 586.3 理 528 593 59 646 596 610.7

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36

2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36 2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36 目 录 前言 第 1 篇 政策篇 1 一 国家有关部门制定相关规划 引导生物科技与产业快速发展与合理布局 3 二 地方政府积极响应 纷纷出台生物医药技术与产业发展规划 突出区域优势和特色 7 三 积极制定政策措施

More information

地方医药管理与立法(五)

地方医药管理与立法(五) ( ) ... 1 3... 1... 1... 2... 4... 6... 13... 14... 15... 15... 16 --12 7... 17... 18... 18... 18... 19 I ... 19... 20... 21... 22 PPA... 22... 23... 24 GMP... 25... 26... 26 2001... 27 2001... 30 2001...

More information

6 公司始终保持很高的研发投入比例, 加之基础优势, 其行业的比较优势逐步被深化和强调, 集成电路设备和军工领域的技术和市场高门槛属性, 给予公司较大的健康发展空间 公司主营业务, 主要包括集成电路设备, 电子元器件和锂电池设备等三个部分都已经形成突出的行业比较优势 7 我们认为, 公司技术实力较强

6 公司始终保持很高的研发投入比例, 加之基础优势, 其行业的比较优势逐步被深化和强调, 集成电路设备和军工领域的技术和市场高门槛属性, 给予公司较大的健康发展空间 公司主营业务, 主要包括集成电路设备, 电子元器件和锂电池设备等三个部分都已经形成突出的行业比较优势 7 我们认为, 公司技术实力较强 半导体产业大潮起, 设备龙头乘风来 方正证券研究所证券研究报告 七星电子 (002371) 电子行业 公司研究 公司深度报告 2016.05.30/ 强烈推荐 ( 首次 ) 首席分析师 : 段迎晟执业证书编号 : S1220514060002 TEL: E-mail duanyingsheng@founders 联系人 : 侯宾, 杨洋, 章书勤 TEL: 010-68584892 E-mail:

More information

浙江晶盛机电股份有限公司2015年年度报告摘要

浙江晶盛机电股份有限公司2015年年度报告摘要 证券代码 :300316 证券简称 : 晶盛机电公告编号 :2016-015 浙江晶盛机电股份有限公司 2015 年年度报告摘要 一 重要提示 本年度报告摘要来自年度报告全文, 为全面了解本公司的经营成果 财务状况及未来发展规 划, 投资者应当到证监会指定媒体仔细阅读年度报告全文 公司董事 监事 高级管理人员对年度报告内容的真实性 准确性 完整性均无异议 全体董事均亲自出席了审议本次年报的董事会会议

More information

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括 同步相量测量装置 网络报文记录分析装置 时间同步装置 通过检测的装置清单如下 : 1. 北京四方继保自动化股份有限公司 1 间隔测控 CSI-200F-DA-1 北京四方继保自动化股份有限公司

More information

Microsoft Word - Semi-conductor Sector_ _CN_.doc

Microsoft Word - Semi-conductor Sector_ _CN_.doc 电子元器件 证券研究报告 板块最新信息 增持 公司名称 股票代码 目标价 评级 七星电子 002371.CH - 未有评级 华天科技 002185.CH 人民币 13.50 买入 长电科技 600584.CH - 未有评级 晶方科技 603005.CH 人民币 36.05 谨慎买入 同方国芯 002049.CH 未有评级 我们的观点有何不同? 我国大陆已逐步形成 消费市场 终端品牌商 芯片设计 晶圆制造

More information

Slide 1

Slide 1 新形势下集成电路产业投资策略观察 刘晓宇,, 13681972568 Email: fisher.liu@163.com Mobile: 136 8197 2568 仅供参考, 不构成投资建议 半导体市场应用分布 2014 销售额 :3250 亿美元 ( 十亿美元 ) 2014 销售额 :3250 亿美元 ( 十亿美元 ) 8.7 19.5 29.9 43.7 59.2 92.0 72.1 逻辑芯片存储器处理器模拟电路光电器件传感器分立器件

More information