目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

Size: px
Start display at page:

Download "目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现"

Transcription

1 [Table_MainInfo] / 机械设备发布时间 : 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位 ;2) 我国半导体 设备支出占比小增速快, 设备市场增长空间大 ;3) 半导体贸易逆差 持续维持 1,6 亿美元高位, 进口替代 大势所趋 国家意志导向, 政策 + 资金双管齐下促国内半导体行业发展 集成电 路是当今信息技术产业高速发展的基础和源动力, 其技术水平和发 展规模已成为衡量一个国家产业竞争力和综合国力的重要标志之 一 近年来一系列的政策出台鼓励国内半导体行业的发展, 半导体 大基金 为国内半导体行业发展提供直接支持, 一期募集资金 1,387 亿元, 加上地方政府共募集集成电路基金共计 5,145 亿元, 共 计 6,532 亿元 国家基金和地方基金的设立, 将能有力提高集成电路 领域的投资能力, 克服单次投资规模大, 企业投资能力不足的缺陷, 促进集成电路投资的加速发展, 从而释放相关设备领域的需求 国内承接第三次半导体产能转移, 产业链优秀公司持续受益 当前, 在以 iphonex 为风向标的新一代智能手机 物联网和人工智能的发 展推动下, 半导体产业在我国兴起, 伴随而来的是第三次半导体产 能转移 现阶段我国在 IC 设计 晶圆制造 封装测试领域已取得不 菲成绩, 关键技术和设备上完成了突破, 逐步渗透入先进制程的供 应链中, 我们认为洁净室龙头亚翔集成, 设备领头羊北方华创 晶 盛机电, 封测企业长川科技等优秀公司直接受益产业高景气度, 有 望在国外技术垄断下率先突围 国内晶圆厂的扩建完善基础设施 促进国内外技术合作, 助推产能 转移 根据 SEMI 预计 年间投产的有 62 座半导体晶圆厂, 量产晶圆厂占多数, 其中 26 座设于大陆, 占全球总数的 42% 据我 们统计和测算, 目前中国大陆共有 34 座 12 英寸晶圆厂, 其中投产 11 座, 在建 15 座, 规划 8 座, 国内半导体行业高景气度持续 从需 求端看, 按设备支出大约占半导体资本总支出的 6 估算, 未来 3-4 年, 晶圆厂建设释放的 1,352 亿元投资将为半导体设备行业提供 7,831 亿元的下游市场空间, 设备企业将率先获益 重点公司主要财务数据 重点公司 现价 EPS PE 217E 218E 219E 217E 218E 219E 亚翔集成 买入 北方华创 增持 至纯科技 增持 长川科技 增持 晶盛机电 增持 评级 [Table_PicQuote] 历史收益率曲线 26% 16% 6% -4% -14% -24% 217/2 217/3 217/4 217/5 机械设备沪深 3 217/6 217/7 [Table_Trend] 涨跌幅 (%) 1M 3M 12M 绝对收益 % % % 相对收益 -4.82% % % 行业数据 217/8 217/9 217/1 217/11 成分股数量 ( 只 ) 366 总市值 ( 亿 ) 25,47 流通市值 ( 亿 ) 14,94 市盈率 ( 倍 ) 市净率 ( 倍 ) 1.64 成分股总营收 ( 亿 ) 11,768 成分股总净利润 ( 亿 ) 4 成分股资产负债率 (%) [Table_Report] 相关报告 工程机械高景气度持续, 龙头企业强者恒 强 217/12 218/ 前三季度 3C 和锂电设备表现亮眼, 持续 关注周期业绩兑现和高景气度成长行业 iphone X 预购开启秒售罄, 持续看好 3C 和半导体设备投资机会 工程机械高景气度持续, 龙头企业强者恒 强 证券分析师 : 刘军 执业证书编号 :S (21) liujun@nesc.cn 研究助理 : 张晗 执业证书编号 :S (21) zhanghan@nesc.cn 请务必阅读正文后的声明及说明

2 目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现弯道超车 第二次产业转移 : 自身优势决定路径选择 第三次产业转移 : 中国大陆已做好准备 政策 + 资金双管齐下, 国家支持助力半导体产业转移 历史经验 : 国家扶助是产业转移中重要的 催化剂 中国政策 : 国家意志, 出台政策大力支持半导体行业发展 资金支持 : 国家半导体大基金投资实现全产业链布局 大基金主要的产业目标 大基金支持国内集成电路企业进行海外收购 地方半导体产业基金为地方集成电路产业发展护航 半导体行业壁垒高, 国产设备逐步渗透 俯瞰全局 : 我国已经形成比较完善的半导体产业链 聚焦中游 : 设计 晶圆制作和封测三大环节涉及众多复杂技术 集成电路设计 晶圆制备 清洗晶圆 氧化工艺 光刻技术 刻蚀工艺 掺杂工艺 薄膜沉积工艺 晶圆探针测试 封装测试 半导体设备壁垒高, 国产设备逐渐渗透 齐头并进 : 全面发展谋求真正崛起 设计业发展崭露头角, 逐步缩小与国外差距 制造业关键设备被国外垄断, 进口替代任重道远 封测业差距最小, 有望率先突围 晶圆厂投建如火如荼, 国产设备供应加速追赶 国内晶圆厂投建如火如荼, 国产设备获广阔下游市场 全球晶圆代工业市场集中度高, 中国力量未来有望崛起 服务本土推进国产化进程, 设备需求提供广阔下游市场 请务必阅读正文后的声明及说明 2 / 41

3 5.2. 国产设备加速追赶, 重点公司已率先实现突破 半导体设备在国外起步早, 设备巨头把控半导体设备市场 制造工艺与国际先进水平存在差距, 晶圆厂成为关键切入点 加速研发实现追赶, 国产设备竞争力迈上新台阶 重点公司推荐 亚翔集成 : 国内半导体和面板产业风口来临, 洁净室工程龙头持续受益 北方华创 : 泛半导体设备龙头, 技术领先抢占高速赛道 晶盛机电 : 光伏 + 半导体双驱动, 单晶设备高增长 长川科技 : 专注半导体检测设备, 成长通道逐步开启 至纯科技 : 国内高纯工艺系统稀缺标的, 受益半导体行业高增长...39 请务必阅读正文后的声明及说明 3 / 41

4 行业深度报告 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 1.1. 全球半导体行业平稳发展, 中国表现亮眼从半导体销售来看,216 年全球半导体销售额 3, 亿美元, 同比增长 1.12%, 整体市场保持平稳发展, 亚太地区销售额 2,83.95 亿美元, 同比增长 3.64%, 占据全球市场的 61.49%, 中国区销售额 1,75. 亿美元, 同比增长 9.3%, 占全球 市场的 31.72% 集成电路占半导体销售 8 以上份额 在半导体产品中, 集成电路实现销售 2, 亿美元, 占比达到 82%, 而光电子 分立器件和传感器分别实现销售收入 亿美元 亿美元和 亿美元, 分别占比 9% 6% 和 3% 图 1: 全球半导体销售 图 2: 亚太半导体销售 4, 4 2,5 8 3,5 3 2, 6 3, 2,5 2, 1,5 1, ,5 1, 全球半导体销售 ( 亿美元 ) 增速 亚太半导体销售 ( 亿美元 ) 增速占比 数据来源 : 全球半导体贸易统计组织, 东北证券 数据来源 : 全球半导体贸易统计组织, 东北证券 图 3:216 年全球半导体分地区销售占比 图 4:216 年全球半导体分产品销售占比 3 19% 6% 9% 3% 1 32% 9% 82% 美洲欧洲日本中国其他 数据来源 : 全球半导体贸易统计组织, 东北证券 分立器件光电子传感器集成电路 数据来源 : 全球半导体贸易统计组织, 东北证券 216 年中国半导体销售 1,75. 亿美元, 同比增长 9.3%, 占全球市场的 31.72%, 增速远大于全球平均水平, 在全球半导体市场中表现亮眼 单季度来看, 217 年第二季度, 中国半导体销售额达到 312. 亿美元, 同比增长 25.8%, 连续三个季度销售额保持在 3 亿美元, 增速在 2 以上, 行业维持高景气度 请务必阅读正文后的声明及说明 4 / 41

5 图 5: 中国半导体按季销售情况 % 2 15% 1 5% 图 6: 全球和中国半导体销售增速对比 3 25% 2 15% 1 5% -5% -1 中国半导体按季销售 ( 亿美元 ) 同比 全球半导体按季销售同比增速 中国半导体按季销售同比增速 数据来源 : 全球半导体贸易统计组织, 东北证券 数据来源 : 全球半导体贸易统计组织, 东北证券 全球半导体资本支出平稳向上, 设备支出占据 年全球半导体资本 支出达到 亿美元, 同比增长 5.1%, 其中半导体设备支出达到 亿美元, 同比增长 6.6, 设备支出占整个资本支出的 6 图 7: 全球半导体资本支出情况 图 8: 全球半导体设备支出情况 全球半导体资本支出 ( 亿美元 ) 增速 全球半导体设备支出 ( 亿美元 ) 增速占比 中国大陆半导体设备销售占比较小, 但增速较快中国大陆半导体设备销售占比较小, 但增速较快 216 年, 全球半导体设备支 出达到 亿美元, 同比增长 6.6, 其中日本 北美 欧洲 韩国 中国台湾 中国大陆 其他地区分别为 46.3 亿美元 44.9 亿美元 21.8 亿美元 76.9 亿美 元 亿美元 64.6 亿美元 35.5 亿美元, 中国大陆占据 15.7% 份额, 与之 对应的是中国大陆的半导体设备销售额增速达到 31.84%, 高于全球的 12.9%, 中国 大陆半导体设备销售占比较小, 但增速较快 请务必阅读正文后的声明及说明 5 / 41

6 图 9: 中国大陆半导体设备销售额 图 1: 全球和中国半导体设备销售增速对比 中国大陆半导体设备销售额 ( 亿美元 ) 增速占比 全球半导体设备销售增速 中国大陆半导体设备销售增速 晶圆制造设备是价值量最高的设备 半导体设备主要包括晶圆制造设备 自动 测试设备 封装和组装设备,215 年上述三者设备分别占剧整个半导体资本支出的 49% 3% 和 8%, 晶圆设备由于技术和性能成为半导体产业链中价值量最高的设备 图 11:216 年半导体设备销售分地区 图 12:215 年半导体资本支出分类占比 8% 11% 16% 11% 5% 4 49% 3 19% 8% 3% 日本北美欧洲韩国中国台湾中国大陆其他地区 晶圆制造自动测试封装和组装其他 中国集成电路产业发展迅猛, 设计业 制造业 封装测试业占比较为平均 我们以半导体中占比最大的集成电路为代表, 观察中国集成电路的发展情况可知, 216 年中国集成电路销售额 4,335.5 亿元, 同比增长 2.1%, 近三年的增速均保持在 2 左右, 增长势头迅猛 216 年中国集成电路产业设计业 制造业 封装测试业分别实现收入 1,644.3 亿元 1,126.9 亿元和 1,564.3 亿元, 占比分别为 36% 26% 和 28%, 国内半导体行业三大业务发展较为平均 请务必阅读正文后的声明及说明 6 / 41

7 图 13: 中国集成电路销售情况 图 14:216 年中国集成电路销售分业务占比 5, 6 4,5 4, 3,5 3, % 38% 2,5 2 2, 1,5 1, % -2 中国集成电路销售 ( 亿元 ) 增速 集成电路产业设计业 集成电路产业封装测试业 集成电路产业制造业 2. 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 纵观历史, 半导体行业曾发生过两次产业转移, 第一次发生于 2 世纪 7 年代末, 在家电领域累积了充足实力的日本率先研发出了可量产的高性能 DRAM, 及时满足了 PC 普及对相应技术变革的需求, 因而在世界市场上反超了一直以设计创新优势稳坐头把交椅的美国 第二次发生于 2 世纪 9 年代前后, 韩国和台湾立足自身优势, 分别抓住了 DRAM 标准化生产与 Fabless 模式兴起的机遇, 积极适应技术需求与产业链模式的变革, 分别成为了 DRAM 通用设计标准的制定者和晶圆代工的中坚力量, 从而逐步取代了日本的地位, 完成了产业转移 第三次发生于 21 世纪后, 中国凭借在智能手机这一新兴下游市场上的亮眼表现成为了全球最大的集成电路消费市场, 同时在汽车电子 智能可穿戴设备等未来热点应用领域成长潜力巨大, 以强大的下游需求持续驱动本土企业在半导体销售业绩及制造技术上迅速崛起, 追赶甚至反超国外领先厂商, 从而完成第三次半导体产业转移 图 15:1984 年 -215 年全球半导体产值份额 ( 按国家 ) 数据来源 :SIA, 东北证券 请务必阅读正文后的声明及说明 7 / 41

8 图 16: 半导体产业发展图 数据来源 : 互联网, 东北证券 2.1. 第一次产业转移 : 关键技术实现弯道超车 2 世纪 8 年代中期前, 美国作为半导体与计算机技术的发源地一直保有行业 优势地位 1959 年, 集成电路在美国德州仪器公司诞生, 随后作为与整机系统相适 应的一部分被应用于第三代集成电路计算机中 此时半导体的下游市场主要集中在 国家军事建设领域,2 世纪 6 年代, 军用集成电路市场占比高达 8-9 作为 半导体和计算机的发源地, 美国实现了在两个领域技术研发及应用上相互刺激 协 同进步的良性循环, 并培育出了一大批优秀的半导体企业, 如英特尔 AMD 美国 国家半导体等 这些企业在技术上不断推陈出新, 帮助美国在集成电路及其下游应 用产品的设计创新上保持着绝对优势, 在 2 世纪 8 年代中期前, 美国在半导体市 场上稳坐第一的宝座, 牢牢占据世界半导体市场 6 以上的份额 而当时的美国也 有意识着力发挥自己的技术优势, 将自身比较优势并不明显的加工制造等劳动密集 型环节转移至人力成本较低的日 台地区, 产业转移逐渐萌芽 日本策略性转战家电市场, 累积技术实力 当时日本深知自己作为二战战败国 根本无力在主要的下游市场即军工领域参与竞争, 因此转战技术壁垒较低 本土市 场广阔 价格是核心竞争力的家电市场, 将从在为美国军工代工过程中吸收的半导 体技术成功融入民用家电的生产中, 成功占据了全球家电市场的首位 2 世纪 7 年代, 日本电视机的产量甚至已经超过了美国 大批量的家电生产也帮助日本进一 步加强了技术应用 精细加工和生产管理方面的经验, 完成了实力飞跃,1975 年日 本半导体产值达 12.8 亿美元, 市场份额达 21%, 是当时重要的半导体生产国 请务必阅读正文后的声明及说明 8 / 41

9 在政府的支持下, 日本在高性能 DRAM 产品市场上实现弯道超车 2 世纪 8 年代, 标准微型处理器 (MPU) 技术的兴起推动个人电脑 (PC) 快速普及, 有力刺激了与之相适应的 DRAM 存储器市场需求的膨胀 日本率先洞察到了这一机会, 于 1976 年启动国家性项目 超大规模集成电路计划 (VLSI), 联合富士通 日立 三菱 日本电气 东芝五大企业共同投资 7 亿日元, 集所有企业的研发优势共同开发能实现 高品质 量产化 的高性能 DRAM 制造设备 在资金及技术上的双重支持下, 日本率先实现了高性能 DRAM 量产, 并于 1986 年成功反超美国, 在半导体产业世界市场占有率位列第一 2.2. 第二次产业转移 : 自身优势决定路径选择半导体行业是资本密集型行业, 具体表现需要充足的资金投入以维持技术研发 速度并实现大规模生产, 从而保证能及时满足下游市场对兼具高性能 多功能和低 成本特点的上游产品的需求 在第二次产业转移中, 资本充足度扮演了 催化剂 的重要角色, 日本因为经济泡沫无力持续高强度输出资本, 在领先技术领域的竞争 中逐渐落于下风, 而新崛起的韩国和台湾也立足于自身的资金实力, 以不同的发展 策略完成了第二次产业转移的承接 韩国财阀众多, 因而响应下游需求变革, 走技术超越路线, 通过在 DRAM 标 准化生产领域的优势登上行业霸主地位 2 世纪 9 年代, 当时处于主力需求地位 的 DRAM 市场迈入标准化生产时代, 这意味着产品的核心竞争力由单纯的高性能 转为了高性价比 当时, 每一代新型 DRAM 产品上市单价平均高达 3 美元以上, 随 着新产品领域投产厂商数量的增多 产品供应量的不断攀升,3-4 年后产品单价跌落 5-7 美元 在此种情况下, 能否掌握通用设计标准与大规模生产能力就成为了企业 决胜的关键 前者保证企业推出的新产品可以在最短时间内被市场接受, 率先享受 技术升级初期的高额利润, 而后者使得企业单位产品生产成本降低, 因而可以承受 产品推广后的价格骤降 韩国在国家和国内财阀的融资支持下, 先是依靠短时间内 高强度的研发资本与人力投入追平了与日本在 DRAM 市场上的差距, 后又凭借其 精准的战略分析, 匹配个人电脑而非企业服务器的技术需求完成了 DRAM 技术升 级, 在业界通用标准争夺战中打败日本, 成功取代了其在半导体市场上的地位 图 17: 各国家和地区的 DRAM 市场份额变化 数据来源 : 互联网, 东北证券 请务必阅读正文后的声明及说明 9 / 41

10 台湾岛内资金不足, 因而响应生产链变革, 战略性切入晶圆代工领域确立行业独特地位 2 世纪 8 年代, 下游产品的差异化竞争促使 ASCI( 专用半导体 ) 兴起, 催生出大量根据客户特殊需求定制芯片的 IC 设计公司, 许多企业为了集中力量于利润率较高的设计环节, 纷纷从集设计 制造 封装及产品营销为一体的 IDM 经营模式转为将晶圆制造 加工等重资产环节外包的 Fabless 模式, 半导体产业链逐渐呈现出垂直分工的形态 资金实力不足的台湾在这一变革中看到了机会, 最大化发挥自身在精细加工和生产管理上的优势切入晶圆代工环节, 于 1987 年成立全球第一家晶圆代工厂台积电, 并于此后开始大量承接来自美国 Fabless 公司的订单 这些订单不仅为公司的业绩增长提供了充足而持久的动力, 更有助于其引入先进技术 累积应用经验, 加之台湾政府支持半导体行业发展的一系列举措, 台湾半导体业逐渐向上游利润和附加价值更高的制造与设计环节扩展 至 23 年, 就产值而言, 台湾晶圆代工业全球占有率第一, 设计业占有率仅次于美国位居世界第二, 封装测试业也排名世界第一 2.3. 第三次产业转移 : 中国大陆已做好准备智能手机替代 PC 成为半导体主力下游市场, 中国厂商崛起赢得转移良机 迈 入 21 世纪后, 随着移动网络覆盖范围的扩大 互联网渗透率的提升和手机功能的 多样化发展, 智能手机市场迅速扩张, 销量表现逐步超过 PC 市场, 并最终取代其 成为了需求量最大的半导体下游消费市场 216 年半导体下游市场中通讯占比 31.5%, 而 PC 端仅占 11.6%, 排名最末 年间, 全球智能手机出货量增 速始终高于 PC, 并且在 27 年与 21 年出现两个销量增长高潮, 增长率分别为 55.28% 与 75.9% 图 18: 半导体下游消费占比情况 图 19: 全球 PC 与智能手机出货量 1, ,4 1,2 1, 汽车通讯消费工业 / 政府 PC 端 PC 智能手机 PC 增速智能手机增速 图 2: 全球与中国智能手机出货量情况对比图 21: 全球智能手机出货量前 5 强 ( 百万部 ) 请务必阅读正文后的声明及说明 1 / 41

11 1,6 1,4 1,2 1, 全球中国全球增速中国增速 数据来源 : Wind, 东北证券 数据来源 :IDC, 东北证券 中国厂商抓住了这一机遇, 利用人力成本较低的优势, 依靠产品的高性价比和本土市场的庞大需求迅速扩大市场占有率, 在 211 年 -216 年间出货量增速始终快于全球平均水平, 成长可以和苹果 三星等国外巨头分庭抗礼的力量,216 年全球智能手机出货量前 5 强中, 国产品牌华为 OPPO 和 vivo 占据 3 席, 总市占率达 21.5%, 且在苹果 三星销量小幅下滑的情况下依旧保持强劲增长势头 中国半导体消费市场份额快速增长展现强劲需求驱动 中国于 25 年跃升全球第一大半导体消费市场, 并一直保持至今, 尤其是在 21 年 211 年智能手机市场消费最活跃时, 中国的半导体消费需求增速惊人, 市场份额占全球近 5 成 216 年中国半导体销售 1,75 亿美元, 占全球市场的 31.72%, 同比增长 9.3%, 远大于全球平均水平的 1.12%, 强劲的下游需求将成为驱使第三次半导体的产业转移的最大动力 国内巨大的市场空缺也利于半导体产能转移 在 国产替代进口 需求的驱动方面, 从 213 年 -216 年, 中国集成电路产品进口额连续四年超 2 亿美金,216 年集成电路进口 亿美元, 出口 美元, 贸易逆差进一步扩大至 亿美元, 达到历史新高水平, 国产替代需求巨大 图 22: 我国集成电路进出口情况对比 图 23: 我国集成电路进出口贸易逆差 2,5 1,8 35% 2, 1,6 1,4 3 25% 1,5 1,2 1, 2 15% 1, % % 集成电路进口 ( 亿美元 ) 集成电路出口 ( 亿美元 ) 我国集成电路进出口贸易逆差 ( 亿美元 ) 增速 216 年全球半导体销售达到 3, 亿美元, 中国半导体销售额为 1,75. 亿 美元, 全球占比 31.72%, 但晶圆产能只有 1849kw/m, 占 1.8%, 半导体行业供需 请务必阅读正文后的声明及说明 11 / 41

12 严重失衡, 国内半导体行业的严重滞后一定程度上阻碍了其它各类产业的发展 表 1:216 年全球半导体销售和晶圆产能分布对比 地区 销售 ( 亿美元 ) 销售占比 产能 (kw/m) 产能占比 台湾 % 韩国 % 日本 % % 北美 % % 中国 1, % % 欧洲 % % 其它 1, % % 数据来源 :WSTS,IC insights, 东北证券 面对 集成电路国产化 的急迫需求, 现阶段的中国半导体行业在政府多项支持政策 国家 大基金 及地方产业基金的有力推动下, 积极增强核心技术储备, 通过并购海外公司以引进先进技术, 通过建立晶圆厂发展代工业务以累积生产经验, 通过 2 专项 充分集各企业及科研院所研发所长, 产学合作, 加速对关键技术的掌握和研究成果的投产, 现已在设计 制造及封测等领域培育出了一批表现优异的本土企业, 初步建立起了比较完备的半导体产业链 同时, 中国在消费电子 汽车电子 工业控制等半导体新兴应用领域发展势头迅猛, 未来将以广阔的下游市场为上游企业提供充足而持久的需求驱动, 在多方利好因素推动下, 中国承接第三次半导体产业转移已成定局 3. 政策 + 资金双管齐下, 国家支持助力半导体产业转移 3.1. 历史经验 : 国家扶助是产业转移中重要的 催化剂 两次产业转移中, 政府的政策支持都起了极大的促进作用 回顾历史经验, 我 们不难发现, 在产业转移中, 下游市场需求是原始驱动力, 关键技术攻关是主要发 力点, 充足资金是持续发展的必要保障, 精准的市场战略提高目标的达成效率 而 国家的政策支持不仅可以有力弥补本土半导体行业在上述几点上的不足之处, 还可 最大化发挥已有利好因素的协同效应, 从而进一步加速产业转移, 日本 韩国和台 湾的经历都证明了这一点 请务必阅读正文后的声明及说明 12 / 41

13 图 24: 日 韩 台政府对半导体行业的政策支持 数据来源 : 互联网, 东北证券 3.2. 中国政策 : 国家意志, 出台政策大力支持半导体行业发展集成电路是当今信息技术产业高速发展的基础和源动力, 其技术水平和发展规 模已成为衡量一个国家产业竞争力和综合国力的重要标志之一 近年来, 我国加达了对集成电路产业的支持力度, 并于 211 年发布了 国务院 关于印发进一步鼓励软件产业和集成电路产业发展若干政策的通知 214 年 6 月发布了 国家集成电路产业发展推进纲要 215 年 5 月印发的 中国制造 225 文件中明确提出着力提升集成电路设计 水平, 不断丰富知识产权 (IP) 核和设计工具, 突破关系国家信息与网络安全及电 子整机产业发展的核心通用芯片, 提升国产芯片的应用适配能力, 形成关键制造装 备供货能力 215 年 1 月发布 中国制造 225 重点领域技术路线图, 提出面向国家战略 和产业发展, 着力发展集成电路制造业, 提升先进封装测试业发展水平 其中,214 年版 国家集成电路产业发展推进纲要 作为今后一段时期指导我 国集成电路产业发展的行动纲领, 将为我国集成电路产业实现跨越式发展注入新的 强大动力 根据规划,215 年我国集成电路产业销售收入目标为 35 亿 ( 实际情 况 215 年我国集成电路销售 亿,216 年实现销售 亿 ),32/28nm 制 造工艺实现规模量产, 下一个目标将是在 22 年前全行业年销售收入增速超过 2, 并最终于 23 年在集成电路产业链主要环节达到国际先进水平,16/14nm 制 造工艺实现量产, 一批企业进入国际第一梯队, 实现跨越发展 请务必阅读正文后的声明及说明 13 / 41

14 根据 中国制造 225 重点领域技术路线图对 IC 制造产业的规划, 产能扩充与先进制程的发展是最重要两大政策目标, 其中, 在产能扩充上, 全大陆晶圆代工月产能由 215 年 7 万片 12 寸晶圆扩充至 225 年 1 万片,23 年更进一步扩充至 15 万片 ; 在先进制程发展上, 大陆晶圆代工产业将以 225 年 14nm 制程导入量产为目标 表 2: 国家对集成电路产业发展的规划目标 时间 215 年 22 年 225 年 23 年 目标集成电路产业发展体制机制创新取得明显成效, 集成电路产业销售收入超过 35 亿元 ; 移动智能终端 网络通信等部分重点领域集成电路设计技术接近国际一流水平 集成电路产业与国际先进水平的差距逐步缩小, 全行业销售收入年均增速超过 2,16/14nm 制造工艺实现规模量产, 封装测试技术达到国际领先水平, 产业生态体系初步形成 全大陆晶圆代工月产能规划由 215 年 7 万片 12 寸晶圆扩充至 225 年 1 万片 ; 大陆晶圆代工产业将以 225 年 14nm 制程导入量产为目标 集成电路产业链主要环节达到国际先进水平, 一批企业进入国际第一梯队, 实现跨越发展 全大陆晶圆代工月产能规划扩充至 23 年 15 万片 数据来源 : 国家集成电路产业发展推进纲要, 中国制造 225 重点领域技术路线图, 东北证券 3.3. 资金支持 : 国家半导体大基金投资实现全产业链布局 国家集成电路产业投资基金 ( 大基金 ) 是由中央财政 国开金融 中国烟草 亦庄国投 中国移动 上海国盛 中国电子 中国电科 紫光通信 华芯投资等共 同发起, 为促进我国集成电路产业发展而设立的产业投资基金 在国家对集成电路支持力度不断加大的情况下,214 年 4 月国务院下达 关于 国家集成电路产业投资基金设立方案的批复 基金首批计划募集规模为 12 亿元, 截止 217 年 6 月规模已达到 1387 亿元, 超募 15.6% 该基金总期限计划为 15 年, 分为投资期 ( ) 回收期 ( ), 展期 ( ), 投资方向包括集成 电路芯片制造业, 兼顾芯片设计 封装测试 设备和材料等产业 经过 3 年的运作, 截至 217 年 9 月 2 日, 大基金累计决策投资 55 个项目, 涉及 4 家集成电路企业, 共承诺出资 13 亿元, 承诺投资额占首期募集资金的 72%, 实际出资 653 亿元, 也达到首期募集资金的将近一半 已实施项目覆盖了集成电路 设计 制造 封装测试 装备 材料 生态建设等各环节, 实现了在产业链上的完 整布局 大基金主要的产业目标设计业一是支持国内设计业龙头企业, 巩固并增强在移动通讯 智能电网 消费电子等领域的竞争力 ; 二是提升高端芯片产业化的能力, 在 CPU 等高端芯片领域, 对接国家科技专项的成果 ; 三是重点领域布局项目, 选择一定数量重点种子企业股权投资, 重点围绕 Pre-IPO 和上市设计公司项目, 社会资本进行投资 制造业一是加快存储芯片规模化量产, 推进 3DFlash 规模化生产, 部署上下游产业链 ; 二是布局 DRAM 产业 ; 三是资源整合, 以产能建设和兼并重组为手段, 打造工艺平台, 增强测试工艺专业制造能力 ; 四是支持龙头骨干企业的半导体生产线建设 封测业支持海外重组和骨干企业的发展 围绕国内新增产能, 开展客户技术路 请务必阅读正文后的声明及说明 14 / 41

15 线差异化发展 装备业方面, 重点依托国家科学重大专项成果, 推进薄膜生产设备, 整合性装备产业化, 适度布局关键零部件 材料业方面, 与四部委研发和与国际合作并举的方式, 推动大芯片等关键核心部件的研发和产业化, 与国际行业龙头和化工上市企业为载体, 推进高存电子气体 化学品和其他核心材料企业整合, 形成持续稳定的供应能力 在投后管理方面, 发挥基金作为重要股东的影响力, 着力加强主动管理 积极开展融资链 产业链协同和政策协调等服务 表 3: 国家集成电路产业投资基金投资企业 产业 设计 基金投资的企业 紫光展讯 中兴微电子 艾派克 湖南国科微 北斗星通 深圳国微 盛科网络 硅谷数模 芯原微电子 制造中芯国际 中芯北方 长江存储 华力二期 士兰微电子 三安光电 耐威科技 ; 封装测试长电科技 南通富士通 华天科技 中芯长电 装备 材料 生态建设 中微半导体 沈阳拓荆 杭州长川 上海睿励 北京七星华创与北方微电子整合上海硅产业集团 江苏鑫华半导体 安集微电子 烟台德邦地方子基金 ( 北京 上海 ) 龙头企业子基金( 芯动能 中芯聚源 安芯基金 ) 绩优团队子基金 ( 武岳峰 鸿钛 盈富泰克 ) 芯鑫融资租赁 数据来源 : 芯思想 Semi-news, 东北证券 图 25: 大基金承诺各产业投资占比 1 8% 17% 65% 数据来源 : 中国电子报, 东北证券 芯片制造业设计业测封业装备材料业 纲要 中已经明确指出大基金的投资重点是芯片制造业, 兼顾设计 封装 装备 材料 在目前的承诺投资中, 芯片制造业的资金为 65% 设计业 17% 封测 业 1 装备材料业 8% 大基金支持国内集成电路企业进行海外收购 215 年以来中国资本收购海外半导体公司的案例频发, 主要集中在封测 制造 和设计产业 最受人备注的当属长电科技收购星科金朋 大基金联合长电科技和芯 请务必阅读正文后的声明及说明 15 / 41

16 电半导体收购新加坡封测厂商星科金朋 本次收购刚宣布的时候在业界引起了巨大反响, 因为按营收来看, 星科金朋在全球封测领域排名第四, 而长电科技排名第六 收购以后, 长电科技成为仅次于日月光和安靠的全球第三大封测厂 全球市场份额 9.8% 表 4: 国内半导体海外收购案例 产业 案例 214 年 11 月长电科技 7.8 亿美元收购星科金朋封测 216 年 4 月通富微电 4.36 亿美元收购 AMD 两家封测工厂 216 年 6 月中芯国际 49 万欧元收购意大利晶圆代工厂 LFoundry 制造 215 年 3 月武岳峰资本 7.3 亿美元收购芯成半导体 215 年 5 月紫光 25 亿美元收购新华三 215 年 5 月北京建厂资产 18 亿美元收购恩智浦半导体 RF Power 业务设计 216 年 2 月清芯华创 16.7 亿美元收购豪威科技 216 年 9 月北京山海昆仑资本 5 亿美元收购硅谷数模半导体公司数据来源 : 据公开信息整理, 东北证券 地方半导体产业基金为地方集成电路产业发展护航截至 217 年 6 月, 北京 上海 南京 广东 辽宁 四川地方政府共募集集成电路基金共计 5,145 亿元, 加上国家集成电路产业募集资金 1,387 亿元, 共计 6,532 亿元 国家基金和地方基金的设立, 将能有力提高集成电路领域的投资能力, 克服单次投资规模大, 企业投资能力不足的缺陷, 促进集成电路投资的加速发展, 从而释放相关设备领域的需求 表 5: 地方集成电路产业基金 地区 名称 成立时间 目标规模 ( 亿元 ) 用途 安徽 安徽省集成电路产业基金 重点投资晶圆制造 设计等产业 昆山 昆山海峡两岸集成电路产投资优质产业项目及关键技术 公共技术平台 ; 业投资基金对外并购 无锡 无锡市集成电路产业发展重点集成电路龙头企业, 扶持一批中小型集成电 基金路企业 陕西 陕西省集成电路产业投资基金 制造, 封装, 测试 广东 广东省集成电路产业投资基金 设计, 制造, 封测, 以及材料装备 辽宁 辽宁省集成电路产业投资基金 扩建集成电路项目 四川 四川省集成电路与信息安全产业投资基金 支持省内优势项目 湖南 湖南国微集成电路创业投设计, 应用 ( 消费电子, 可穿戴设备, 智能装备, 资基金智能制造 ), 装备与材料 厦门 厦门国资紫光联合发展基 IC 设计, 封测, 制造, 网络, 大数据及产业并购 金与金融 福建 福建省安芯产业投资基金 设计, 制造, 封测, 材料, 设备, 和应用 南京 南京市集成电路产业基金 推动南京集成电路产业发展 请务必阅读正文后的声明及说明 16 / 41

17 上海 上海市集成电路产业投资基金 制造 3, 设计 1, 材料 1 湖北 湖北省集成电路产业投资基金 制造, 兼顾设计 封测 北京 北京集成电路海外平行基金 设计和封测 贵州 贵州省集成电路产业 设计, 芯片生产线, 封装测试 深圳 深圳市集成电路产业投资基金 IC 产业链 合肥 合肥市集成电路产业投资基金 半导体和电子信息产业 天津 天津市集成电路产业基金 设计产业 上海 上海武岳峰集成电路信息产业基金 集成电路产业并购 北京 北京市集成电路产业发展股权投资基金 设计, 制造, 封装, 测试, 核心设备等关键环节 其他 125 数据来源 : 根据公开信息整理, 东北证券 4. 半导体行业壁垒高, 国产设备逐步渗透 4.1. 俯瞰全局 : 我国已经形成比较完善的半导体产业链集成电路 (IC,Integrated Circuit, 由上文可知, 集成电路占半导体销售 8 以 上份额, 通常所说半导体行业指代集成电路行业 ) 是一种微型电子器件或部件, 是采用一定的工艺, 把一个电路中所需的晶体管 电阻 电容和电感等元件及布线 互连一起, 制作在一小块或几小块半导体晶片或介质基片上, 然后封装在一个管壳 内, 成为具有所需电路功能的微型结构 集成电路将设计好的电路, 以堆栈的方式 组合起来 藉由这个方法, 可以减少连接电路时所需耗费的面积 从 IC 电路的 3D 图可以看出它的结构就像房子的梁和柱, 一层一层堆栈, 这也就是为何会将 IC 制 造比拟成盖房子 图 26:IC 芯片的 3D 剖面图 图 27:IC 芯片的物理连接图 连接层 逻辑闸层 晶圆 数据来源 :Wikipedia, 东北证券 数据来源 : 互联网, 东北证券 请务必阅读正文后的声明及说明 17 / 41

18 集成电路的制备过程中, 需要用到大量的制造加工设备, 这些设备科技含量高, 制造难度大, 设备价值量高 我国正在持续推动半导体设备制造, 目前已经在半导体的上中下游有比较完备的建设和布局, 也催生出一批优秀的半导体相关公司 中游来看, 中电科电子装备 北京北方华创微电子装备 中微半导体设备 上海微电子装备和沈阳拓荆科技 亚翔集成 至纯科技表现突出 中电科电子装备隶属于中国电子科技集团, 目前已形成以光刻机 平坦化装备 离子注入机 电化学沉积设备等为代表的微电子工艺设备研究开发与生产制造体系, 可服务于材料加工 芯片制造 先进封装和测试检测等多个领域 北方华创涉及的领域涵盖等离子刻蚀 物理气相沉积 化学气相沉积 氧化 / 扩散 清洗 退火等半导体工艺装备, 可服务于集成电路 先进封装等领域 中微半导体主要研发和制造薄膜制备和等离子体刻蚀设备, 是国产等离子体刻蚀机和金属有机化学气相沉积设备的主要供应商 上海微电子装备掌握了高端光刻机相关技术且具有高端投影光刻机生产能力 217 年 3 月, 公司与全球领先的半导体设备企业阿斯麦签署了战略合作协议 沈阳拓荆主要研究和生产专用薄膜设备, 是国内目前高端薄膜制造技术解决方案的领先企业 亚翔集成和至纯科技主营业务分别涵盖半导体洁净室和高纯工艺系统, 属于资本市场半导体行业新贵 图 28: 我国半导体上中下游产业链 材料 硅晶圆 / 拉晶片 / 切片化学品 / 气体 / 金属丝等 IC 材料有研新材上海硅产业集团江苏鑫华 上游 设备 制造设备封测设备 IC 设备 封测设备 洁净室工程 中电科电子装备 长电科技 亚翔集成 北方华创 拓荆科技 至纯科技 生产条件 洁净室工程 中微半导体 长川科技 上海微电子装备 芯片设计 逻辑 / 电路 / 图形设计 芯片设计晶圆制造封装测试 中游 晶圆制造 封装测试 海思半导体三星 ( 中国 ) 半导体新潮科技 清华紫光展锐中芯国际华达微电子 中兴微电子 SK 海力士威迅联合 下游 数据来源 : 互联网, 东北证券 计算机 / 通信 / 电子等行业 主要产品需求 集成电路 / 光电子 / 分立器件 / 传感器 4.2. 聚焦中游 : 设计 晶圆制作和封测三大环节涉及众多复杂技术集成电路制造是一个复杂且耗时的过程, 可以分成设计 晶圆制作和封装测试 三大步 首先要利用设计自动化软件开始电路设计, 接着将集成电路设计的版图转 印到石英玻璃上的铬膜层形成光刻版或被缩光刻版 ; 在另一个领域, 有石英砂提炼 出来的初级硅经过纯化后拉成单晶硅棒, 然后切片做成晶圆 晶圆经过边缘化和表 面处理, 在与光刻版 / 被缩光刻版一起送到半导体制造厂制作生产集成电路芯片 集成电路设计的流程主要有以下步骤 : 逻辑设计 电路设计 图形设计和最后 的设计验证, 完成后 IC 设计公司会将所设计的芯片交给晶圆代工厂进行制造 请务必阅读正文后的声明及说明 18 / 41

19 图 29:IC 芯片制备的工艺总流程图 数据来源 : 根据公开资料整理, 东北证券 集成电路设计集成电路的设计是根据电路功能和性能的要求, 在正确选择系统配置 电路形式 器件结构 工艺方案和设计规则的情况下, 尽量缩小芯片面积, 降低设计成本, 缩短设计周期, 以保证全局优化, 设计出满足要求的集成电路, 集成电路设计的最终输出结果是掩膜版图, 在晶圆加工中的光刻环节, 紫外线会通过掩膜版图照射涂过光刻胶的基板, 完成电路设计图转印过程 晶圆制备晶圆制备是整个集成电路制备的核心流程, 也是半导体制造的前道工序 该模块又分为晶圆制造和加工 其中加工处理程序最为复杂, 主要步骤包括清洗晶圆, 涂膜, 光刻, 显影, 掺杂 ( 离子植入 ) 和金属溅镀等 按照具体工艺不同, 可以分为四大基本操作 : 添加工艺 移除工艺 图形化工和加工工艺 掺杂 薄膜生长和沉积属于添加工艺流程 ; 刻蚀 清洗和抛光属于移除工艺 ; 光刻技术是图形化工艺 ; 而热处理 合金化和再流动步骤都属于加热工艺 在晶圆加工中, 这些工艺并非呈现出确定的线性排列的关系, 而是会重复交叉进行, 以满足不同类型半导体对制造技术的要求 在集成电路生产工艺中, 进行晶圆制造的无尘室具有至关重要的作用 在完成所有制备之前, 晶圆需要一直处于无尘室中 当晶圆经过最后一道钝化层工艺时, 最后的光刻工艺与氮化物 / 氧化物刻蚀工艺将接合垫片或凸状连接座打开并将光刻胶剥除, 此后便可将晶圆送至封装测试区完成芯片制造 清洗晶圆清洗晶圆指去光刻胶 湿法刻蚀和湿法化学清洗 该步骤中需要用到具有腐蚀 请务必阅读正文后的声明及说明 19 / 41

20 性的化学药品和氧化剂, 比如, 氢氟酸 (HF) 盐酸(HCL) 硫酸(H2SO4) 硝酸 (HNO3) 和过氧化氢 (H2O2) 湿法工艺后, 将使用大量高纯度去离子水以达到清洗晶圆的目的 图 3: 清洗流程 数据来源 : 半导体制作技术导论, 东北证券 氧化工艺氧化工艺是指通过向硅晶圆加氧气在硅晶圆表面制备二氧化硅膜的过程, 作为贯穿整个晶圆加工的基本工艺主要被应用于以下几个方面 :(1) 作为掺杂工艺过程中的遮蔽层, 以降低掺杂原子的扩散速率, 阻挡光刻胶以便硅片受到污染并在离子进入单晶硅前现将离子散射以减小通道效应 (2) 在薄膜沉积过程中起到应力缓冲及污染阻挡层的功效 (3) 形成绝缘体使 IC 芯片上相邻的晶体管间电气隔离 (4) 充当多层金属互联层间的介质材料 (5) 充当对器件和电路进行钝化的钝化层材料 光刻技术光刻技术是指在光照作用下, 借助光刻胶将掩膜版上的图形转移到基片上的技术, 主要包括光刻胶涂敷 曝光和显影三个过程, 为了获得高分辨率光刻技术也会用到烘烤和冷却, 上述工序均在晶圆轨道对准系统中完成以提高工艺的成品率和产量 图 31: 光刻流程图 数据来源 : 半导体制作技术导论, 东北证券 光刻胶涂敷的具体过程为 : 先将适量光刻胶滴上基板中心, 并将基板置于光阻 请务必阅读正文后的声明及说明 2 / 41

21 涂布机的真空吸盘上, 转盘以每分钟数千转之转速, 旋转 3-6 秒, 使光阻均匀涂布在基板上, 转速与旋转时间, 依所需光阻厚度而定 光刻胶涂敷后, 晶圆再次被加热以将光刻胶从液态转变为固态, 同时也可以增强光刻胶在晶圆表面的附着力, 这种工艺有时被称为预曝光烘烤 紧接着是曝光过程, 紫外光通过印有图形化电路设计的光刻版或倍缩光刻板射于光刻胶之上, 从而改变光照及阴影处对应光刻胶的溶解率, 形成对应图形 之后是显影过程, 通过滴加显影剂去除不需要的光刻胶, 并形成由刻板或倍缩光板所定义的图形, 对于正光刻胶来说, 曝光会增加溶解率, 因而是被照射部分被去除 ; 对于负光刻胶来说, 曝光会降低溶解率, 因此是阴影部分被去除 显影后会进行硬烘烤, 去除光刻胶内的残余溶剂 增加光刻胶的强度并通过进一步的聚合作用改进光刻胶对刻蚀与离子注入的抵抗力 硬烘烤后会进行图形检测, 只有合格产品才会继续进行后续刻蚀等步骤最终将电路设计图形转移至基片上, 不合格晶圆会在去除光刻胶后重复之前的流程直至通过检查 图 32: 光刻工艺图 数据来源 :CNKI, 东北证券 由于集成电路具有各功能层并且是立体重叠的, 因而光刻工艺总是多次反复进行 光刻作为集成电路制造的最关键步骤, 在整个芯片的制造过程中约占据了整体制造成本的 35% 刻蚀工艺刻蚀是移除晶圆表面材料, 达到 IC 设计要求的一种工艺过程, 根据刻蚀的区域可分为与两种, 其中图形化刻蚀是将制定区域的材料去除, 如将光刻胶或光刻板上的图形转移到衬底膜上, 而整面全区刻蚀则是去除整个表面膜达到所需的工艺要求 而根据刻蚀的原理可分为湿法刻蚀与干法刻蚀, 前者是借助刻蚀剂与待刻蚀材料间的化学反应将待刻膜层溶解达到刻蚀目的, 而后者又分为物理性刻蚀 等离子刻蚀与反应离子刻蚀三类, 其中物理性刻蚀是利用辉光放电将气体 ( 如 Ar 气 ) 电请务必阅读正文后的声明及说明 21 / 41

22 离成带正电的离子, 再利用偏压将离子加速, 溅击在被刻蚀物的表面而将被刻蚀物的原子击出 ; 等离子刻蚀是利用等离子体将刻蚀气体电离并形成带电离子 分子及反应性很强的原子团, 它们扩散到被刻蚀薄膜表面后与被刻蚀薄膜的表面原子反应生成具有挥发性的反应产物, 并被真空设备抽离反应腔 ; 反应离子刻蚀 (RIE) 则是结合上述物理性离子轰击与化学反应, 兼具非等向性与高刻蚀选择比双重优点的刻蚀方法 图 33: 湿法刻蚀与干法刻蚀示意图 图 34: 一种 CMOS IC 芯片的刻蚀工艺 数据来源 : 半导体制作技术导论, 东北证券 数据来源 : 半导体制作技术导论, 东北证券 IC 芯片工艺中包含许多刻蚀过程, 通过刻蚀不同的材料来完成相应的工艺步骤, 刻蚀单晶硅以形成浅沟槽绝缘 (STI) 或电容器的深沟槽 ; 刻蚀多晶硅以界定栅和局部连线 ; 刻蚀氧化物界定接触窗和金属层间接触窗孔 ; 刻蚀金属形成金属连线 ; 刻蚀硅氧化物和氮化物薄膜以完成电解质刻蚀, 从而形成接触窗 接合垫片区, 或硅刻蚀时的硬遮蔽等等 掺杂工艺掺杂是一种将需要的杂质掺入特定的半导体区域中, 以达到改变半导体电学性质的工艺, 分为扩散掺杂与离子注入掺杂 早期的 IC 生产中普遍使用扩散掺杂半导体, 即利用高温在硅表面掺杂高浓度的掺杂物, 掺杂物通过分子热运动由浓度高区移动到浓度低区, 从而扩散至硅衬底中而改变半导体的导电率 离子注入则提供了比扩散过程更好的掺杂工艺控制, 可以独立控制掺杂浓度和深度, 且可在室温下进行, 因而无需制备二氧化硅作为遮蔽膜 该过程中需要用到离子注入机, 其优点是它的磁性分析仪能筛选高纯度离子束, 因此能够使用不同的化学试剂进行不同的工艺过程, 而且不会造成交叉污染 薄膜沉积工艺集成电路的薄膜区是沉积电介质或金属层的区域 薄膜沉积是一项添加工艺 化学气相沉积 (CVD) 常用于电介质薄膜沉积 由于电介质层作为多层连线应用时需要低的生长温度, 所以广泛使用等离子体增强化学气相沉积 (PECVD) 金属化过程中,PVD 工具 ( 以溅镀沉积工具为主 ) 可沉积出铝铜合金 钛及氮化钛, 而 CVD 工具广泛用于沉积钨金属 晶圆探针测试晶圆探针测试是对制造完成的晶圆上的每个芯片进行针测, 测试时, 晶圆被固定在真空吸力的卡盘上, 并与很薄的探针电测器对准, 探针与芯片的每一个焊接点 请务必阅读正文后的声明及说明 22 / 41

23 相接触, 以此检测晶粒是否合格 探针检测的相关数据, 现在已经可以用来对晶圆制造中优良率的提升具有重要意义 封装测试封装是一种将集成电路用绝缘的塑料或陶瓷材料打包的技术, 在封装测试环节中, 晶圆上的芯片被切割成单个芯片, 通过封装, 芯片最终安放在 PCB 板上 IC 封装的作用之一就是对芯片进行环境保护, 避免芯片与外部空气接触 因此必须根据不同类别的集成电路的特定要求和使用场所, 采取不同的加工方法和选用不同的封装材料, 才能保证封装结构气密性达到规定的要求 现阶段全球半导体封装的主流正处于面积阵列时代的成熟阶段,PQFN 和 BGA 成为大规模生产中用到的主要技术, 同时开始逐渐向可实现异质集成的系统封装技术方向发展 系统封装工艺 (SiP) 可将利用 3D 堆叠 2.5D/3D TSV 等先进封装技术将众多不同制造工艺的芯片集成在一个芯片或封装内, 并凭借其高整合性和微型化的特色被越来越多地应用于具有体积小 多功能 低功耗等特性的电子产品中, 例如智能手机的 Wi-Fi 模组 指纹辨识模组及压力触控模组均需用到 SiP 技术 未来物联网和智能设备的高速发展也会进一步增加对低功耗多功能异质晶片整合的需求, 从而为先进封装技术提供广阔的下游市场 加之后部封接成本占整个集成电路成本的比重逐渐上升, 相关设备企业有望受益于对应产线投资的增长 图 35: 封装技术发展历史 数据来源 :OFweek, 东北证券 芯片完成封装后需要进行成品测试, 通过对集成电路进行功能和电参数性能测 试, 保证出厂的每颗集成电路的功能和性能指标能够达到设计规范要求 这一环节 需要用到的设备是分选机和测试机 半导体设备壁垒高, 国产设备逐渐渗透先进技术对半导体设备提出了更高要求 半导体的制造工艺流程复杂, 所涉及设备种类繁多, 核心技术研发困难, 且需要紧跟集成电路制造技术日新月异的发展, 行业壁垒极高 未来的半导体设备不仅要满足 摩尔定律 驱动下, 更小制程对更多设备数量与更高加工精细度的要求, 更要满足以异质集成为导向的先进封装技术请务必阅读正文后的声明及说明 23 / 41

24 和物联网 智能设备及汽车电子等新兴应用领域对芯片功能多样化的追求, 行业壁垒进一步升高 现阶段最先进的半导体设备制造技术依旧被国外厂商垄断, 但国内企业已经在国家政策和资金的支持下奋起直追, 在一些关键技术上完成了突破, 逐步渗透入先进产线的设备供应链中 表 6: 主要生产环节对应的关键设备设备名称应用环节工作原理国外公司国内公司在惰性气体环境中, 用石墨加热器将多京运通 天龙光电 京美国 Kayex 单晶炉晶硅等多晶材料熔化, 用直拉法生长无仪世纪 北方华创 理德国 PVA TePla 错位单晶 工晶科 汉虹精机 硅片制造内圆切片机硅片倒角机化学机械抛光机硅片制造 封装检测晶片减薄机 立式氧化炉扩散分步重复光刻机光刻反应离子刻蚀系统刻蚀等离子刻蚀系统离子注入机离子注入 通过高速旋转的镀有金刚砂的刃具与晶 锭径向磨削来实现晶锭切片 对硅片锐利的边缘进行磨削修整, 从而 使硅片获得平滑的外缘以化学腐蚀作用与机械去除作用为主要兰新高科技产业股份美国应用材料 诺发原理, 集清洗 甩干 在线检测 终点有限公司 爱立特微电系统 Rtec 检测等功能于一体的全局平坦化设备 子兰新高科技产业 方达日本 DISCO 研磨设备制造 金实力通过减薄 / 研磨的方式对晶片背面多余 OKAMOTO; 德国精密研磨机器制造 炜的基体材料去除一定的厚度 G&N; 以色列 Camtek 安达研磨设备 华年风科技英国 Thermco; 德国为半导体材料进行氧化处理, 提供要求北方华创 福润德 中 Centrothermthermal 的氧化氛围, 实现半导体预期设计的氧电 48 所 旭光仪表设 solutions GmbH 化处理结果 备 中电 45 所 Co.KG 荷兰 ASML; 美国泛利用光学系统投影成像的原理, 将投影林半导体 ABM 掩模版上的芯片图形以分步重复曝光的中电 48 所 中电 45 所 MYCRO; 日本尼康 方式聚焦成像在涂有光刻胶的硅片上, 上海机械厂 南光实业 Canon; 德国 SUSS 公实现高分辨率图形转印的设备 司日本 Evatech; 美国通过以物理溅射作用为主兼有化学反应北京仪器厂 北方华 NANOMASTER; 新的相互促进过程, 实现半导体的加工成创 南光实业 中电 48 加坡 REC; 韩国型, 是常用的干法刻蚀设备所 JuSung TES 北京仪器厂 北方华采用双射频电源, 其中一个射频电源通创 中电 48 所 戈德过螺旋线圈控制高密度等离子体的产英国牛津仪器 尔等离子科技 中科微生 另一射频电源施加偏置电场控制等 Quorum; 美国 Torr 电子 北方微电子 东离子体轰击能量, 实现半导体的加工成 Gatan 利曼 Pelco 方中科集成科技 创世型是干法刻蚀的关键工艺设备 威纳科技 美国维利安半导体 北京仪器厂 中电 48 实现掺杂工艺, 将所需杂质按要求的浓 CHA AMAT Varian 所 南光实业 方基轻度与分布, 掺入半导体以使形成器件 半导体工机械 硅拓微电子 LPCVD 薄膜制备将反应物以气态形式输送到被加热的衬日本日立国际电气驰舰半导体 中电 48 请务必阅读正文后的声明及说明 24 / 41

25 底表面发生化学反应淀积固态薄膜的设备 在反应腔中的低压气体上施加射频电 PECVD 场, 使气体发生辉光放电离化出等离子体在衬底上进行化学反应, 沉积薄膜 在真空条件下, 采用物理方法, 将材料源 固体或液体表面气化成气态原 PVD 子 分子或部分电离成离子, 并通过低压气体 ( 或等离子体 ) 过程, 在基体表面沉积具有某种特殊功能的薄膜利用硅的气态化合物在加热的硅片表面与氢发生反应或自身发生热分解还原生气相外延炉成硅, 并以单晶的形态淀积在硅片表面 ; 或者在高掺杂硅衬底上生长外延层以提高器件设计的灵活性和器件的性能 基于真空蒸发机理, 在超高真空环境中, 用一束或多束不同强度和化学特性的热 分子束外延 能原子束或分子束喷射到具有适当温度 的单晶片上, 经过化学反应而沿着基片 晶向外延生长出新的晶体薄膜 晶圆划片机 将晶圆上的每个芯片划切成独立芯片的设备 引线键合机 封装检测 将芯片表面的压焊点与引线框架或基座上的电极内端进行电连接 对芯片施加输入信号, 采集被检测芯片 测试分选机 的输出信号与预期值进行比较, 判断芯片在不同工作条件下功能和性能的有效 性 探针台 将硅片上待测器件与测试仪相连接以完成封装前的芯片功能测试, 确定合格率 数据来源 : 材料在线, 数码之家, 东北证券 美国 Proto Flex 泛林半导体 ; 日本 Tokki 岛津公司 ; 荷兰 ASML 美国 PVD Vaportech AMAT; 荷兰 Hauzer; 英国 Teer; 瑞士 Platit Balzers; 德国 Cemecon 美国 CVD Equipment GT ProtoFlex 科特 莱思科 应用材料 ; 法国 Soitec AS; 法国 Riber; 芬兰 DCA Instruments; 美国 SVTAssociates Veeco NBM; 德国 Omicron MBE-Komponenten; 英国 OAR 德国 OEG 公司 日本 DISCO 公司美国奥泰 德国 TPT 奥地利 FK 马来西亚友尼森日本 Advantest Epson; 美国 Teradyne Agilent Xcerra Cohu 德国 Ingun 公司 美国 QA MicroXact; 韩国 Ecopia Leeno 所 中电 45 所 北京仪器厂 上海机械厂 中电 45 所 北京仪器厂 上海机械厂北京仪器厂 中科仪器 南光实业 中电 48 所 科睿设备 上海机械厂中电 48 所 赛瑞达 科晶材料 金盛微纳 力冠电子中科仪器 汇德信科技 匡泰仪器 科友真空中电 45 所 科创源光电 沈阳仪器仪表工艺研究所 西北机器有限公司 汇盛电子 兰新高科技 大族激光 红宝石激光 武汉三工 莱联光电 粤茂科技中电 45 所 创世杰科技 宇芯 ( 成都 ) 集成电路封装测试有限公司 开玖自动化上海中艺 北京华峰 鸿劲科技中电 45 所 北方华创 瑞柯仪器 华荣集团 森美协尔科技 4.3. 齐头并进 : 全面发展谋求真正崛起 设计业发展崭露头角, 逐步缩小与国外差距 我国集成电路设计呈现出销售持续高速增长 216 年我国集成电路产业设计业 请务必阅读正文后的声明及说明 25 / 41

26 销售额为 1,644.3 亿元, 同比上年的 1,325 亿元增长 24% 预计 217 年国内 IC 设计 业总销售额达 26 亿元,218 年达 247 亿元 图 36: 我国集成电路产业设计业规模 3, 2,5 2, 1,5 1, 集成电路产业设计业 ( 亿元 ) 增速 数据来源 :Wind, 集邦咨询, 东北证券 设计企业的数量结构也在 年的竞争性整合后有了进一步优化调整, 呈现 质量取代数量 的趋势, 国内前 1 大 IC 设计厂商总销售额 亿元, 海思半导体位列第一, 实现销售收入 26 亿元 不少企业上半年业绩成长超过 2, 汇顶 兆易创新 国科微等多家企业成长超过 4 表 7:216 年中国集成电路设计十大企业 排名 公司名称 销售额 ( 亿元 ) 1 深圳市海思半导体有限公司 26 2 清华紫光展锐 深圳市中兴微电子技术有限公司 56 4 华大半导体有限公司 北京智芯微电子科技有限公司 格科微电子 ( 上海 ) 有限公司 34 7 深圳市汇顶科技股份有限公司 3 8 杭州士兰微电子股份有限公司 大唐半导体设计有限公司 敦泰科技 ( 深圳 ) 有限公司 23.5 数据来源 : 中国半导体协会, 东北证券 根据上文的产业发展描述, 未来以 AI 5G 为首的物联网产业将进入快速成长 期, 以及双摄 AMOLED 人脸识别等新兴应用的放量, 带动上游 AP MCU Nor FPC/3D 传感器等热点芯片产品需求量持续提升, 对应设计企业同步受益 制造业关键设备被国外垄断, 进口替代任重道远 集成电路制造业和设计业一样保持高速增长,216 年我国集成电路产业制造业 请务必阅读正文后的声明及说明 26 / 41

27 销售额为 1,127 亿元, 同比上年的 9.8 亿元增长 25.1, 预计 217 年中国集成电路产业制造业销售额达 1,39 亿元,218 年更多新建晶圆厂实现规模量产, 销售额将进一步攀升, 达 1,767 亿元 主要表现为 12 英寸集中扩建,8 英寸订单满载, 6 英寸面临转型升级 未来 年, 中国预计将有 26 座建设投产, 占全球总数的 42%, 将带动晶圆制造设备的巨大需求 图 17: 我国集成电路产业制造业规模 2, 1,8 1,6 1,4 1,2 1, 集成电路产业制造业 ( 亿元 ) 增速 数据来源 :Wind, 集邦咨询, 东北证券 216 年底中国大陆已投产的 12 英寸晶圆生产线月产能达 46 万片 ( 含外资及存储器部分 ), 全球占比约 9.2%; 已投产 8 英寸晶圆生产线月产能 66.1 万片 ( 含外资 ), 全球占比约为 12.8% 自 年, 中国大陆新增 12 英寸晶圆生产线规划月产能接近 9 万片 / 月 国内前 1 大国半导体制造厂商总销售额 亿元, 三星 ( 中国 ) 半导体有限公司位列第一, 实现销售收入 亿元 表 8:216 年中国半导体制造十大企业 排名 公司名称 销售额 ( 亿元 ) 1 三星 ( 中国 ) 半导体有限公司 中芯国际集成电路制造有限公司 SK 海力士半导体 ( 中国 ) 有限公司 华润微电子有限公司 上海华虹宏利半导体有限公司 英特尔半导体 ( 大连 ) 有限公司 台积电 ( 中国 ) 有限公司 上海华力微电子有限公司 西安微电子技术研究所 25 1 和舰科技 ( 苏州 ) 有限公司 17.5 数据来源 : 中国半导体协会, 东北证券 封测业差距最小, 有望率先突围 请务必阅读正文后的声明及说明 27 / 41

28 在集成电路的封测领域, 中国与美国等先进企业差距正在逐步缩小 目前而言, 我国的封测技术达到国际领先地位 216 年国内集成电路封测产业在规模 技术 市场和创新方面取得快速发展 216 年我国集成电路产业封测业销售额为 1,564.3 亿元, 同比上年的 1,384. 亿元增长 13.3% 基于产业集群驱动 先进技术演进驱动 与 foundry 设计厂商及系统厂商的深度合作等机会的促使下, 估算 217 年中国集成电路封测业销售额稳定成长, 达 178 亿元,218 年伴随新建产线投产运营 高阶封装技术愈加成熟订单上量, 客制化模式增加产业链为产业链注入更多活力, 218 年销售额预估上升至 23 亿元 图 38: 我国集成电路产业封测业规模 2,5 2, 1,5 1, 集成电路产业封装测试业 ( 亿元 ) 增速 数据来源 :Wind, 集邦咨询, 东北证券 国内 IC 测封厂商业绩表现优异, 甚至跻身世界领先行列 216 年国内前 1 大 IC 封测厂商总销售额 亿元, 其中江苏新潮科技集团有限公司位列第一, 实现销售收入 89.5 亿元 长电科技 通富微电 华天科技三家企业已进入全球前十强 这三家企业从 215 年开始都有对国外巨头以及先进技术的封测厂商进行并购, 并且获取了先进的技术 客户和市场 表 9:216 年中国半导体封装测试十大企业 排名 公司名称 销售额 ( 亿元 ) 1 江苏新潮科技集团有限公司 193* 2 南通华达微电子集团有限公司 135.7** 3 威迅联合半导体 ( 北京 ) 有限公司 83 4 天水华天电子集团 智恩浦半导体 英特尔产品 ( 成都 ) 有限公司 海太半导体 ( 无锡 ) 有限公司 上海凯虹科技有限公司 安靠封装测试 ( 上海 ) 有限公司 晟碟半导体 ( 上海 ) 有限公司 27.6 数据来源 : 中国半导体协会, 东北证券 (* 包括星科金朋销售数据 ;** 包括 AMD 苏州 马来西亚工厂销售数 ) 请务必阅读正文后的声明及说明 28 / 41

29 总而言之, 国内集成电路封测行业正迎来 黄金发展期 这主要得益于国家政策和需求旺盛的下游市场 一方面, 全球晶圆制造龙头企业相继在中国建厂扩产, 未来将持续带来配套封测订单 另一方面, 物联网 各类智能终端 汽车电子以及工业控制, 可穿戴设备 智能家电等产业迅猛发展, 对高端先进封装技术的要求不断提升 所以, 我国的晶圆封装测试行业还有很大的发展空间 5. 晶圆厂投建如火如荼, 国产设备供应加速追赶 5.1. 国内晶圆厂投建如火如荼, 国产设备获广阔下游市场 全球晶圆代工业市场集中度高, 中国力量未来有望崛起 全球晶圆代工业市场呈现寡头垄断局面, 近 8 成的市场份额都常年被来自台 美的三大巨头占据, 其中台积电更是以 59% 的市场份额稳坐行业头把交椅 但中国 大陆晶圆厂的力量也不容小视, 中芯国际在近年一直保持着全球第四的排名, 并于 216 年实现了 31% 的增长, 总营收达 23 亿美元 而华虹宏力也始终稳定在前十强 之列,216 年总营收达 7.12 亿 随着新一轮的晶圆产能在大陆爆发, 中国晶圆代 工有望后来者居上 表 1: 全球前十纯晶圆代工厂的销售情况 公司 214 营收同比增 215 营收 216 营收市占率同比增长市占率 ($M) 长 ($M) ($M) 同比增长 市占率 台积电 25,138 25% 59% 26,574 6% 59% 29,488 11% 59% 格罗方德 4,355 6% 1 5,19 15% 11% % 联华电子 4,331 9% % 1 4,582 3% 9% 中芯国际 1,97 5% 2,236 14% 5% 2,291 31% 6% 力晶 1,291 9% 3% 1,268-2% 3% 1,275 1% 3% TowerJazz % 2% % 2% 1, % 世界先进 79 11% 2% 736-7% 2% 8 9% 2% 华虹宏力 % 65-2% 1% % Dongbu HiTek % % % 1% X-Fab 33 14% 1% 331 1% 51 54% 1% 其它 228 3% 5% 2,45 5% 5% 2,251-6% <1% 总计 42,519 18% 1 45,237 6% 1 5,5 11% 1 数据来源 :IC Insights, 公司报告, 东北证券 据 SEMI 估计,217 年 -22 年未来四年全球将有 62 座新晶圆厂投产, 就 62 座晶圆厂的类别来说, 32% 为晶圆代工厂,21% 为存储器,11% 为 LED,1 为电源芯片,8% 为 MEMS 微机电系统 本轮投资以 12 寸晶圆厂为主,12 寸晶圆厂已成为全球市场主流 其中四成即 26 座新晶圆厂坐落大陆, 占 42% 份额 美国 1 座 台湾 9 座 而在这 26 座里,17-18 年大陆将新建 1 个晶圆厂 据公开资料整理, 目前中国大陆共有 34 座 12 英寸晶圆厂, 其中投产 11 座, 在建 15 座, 规划 8 座, 国内半导体市场高景气度持续 表 11:12 英寸晶圆厂 ( 投产 ) 请务必阅读正文后的声明及说明 29 / 41

30 序号 公司 地区 产品 投资额 ( 亿人民币 ) 月产能 投产时间 1 SK 海力士 无锡 2nm CMOS 一至四期 五期 年至今 2 华力微 上海 9~45nm CMO 联芯集成 厦门 216 年 12 月试产 55~4nm (221 年达产 5 CMOS 万片 ) 4 三星电子 西安 46~25nm CMOS 英特尔 大连 65~9nm CMOS 北京 9nm~55nm CMOS 46.6 亿元 ~28nm 北京中芯国际 CMOS 微米 8 上海 ~28nm 2 23 CMOS 9 晶合集成 合肥 9nm 面板驱动, 晶圆代工 亿元 武汉新芯 武汉 晶圆代工 德科玛 淮安 CMOS 134 亿元 数据来源 : 公开资料整理, 各公司官网, 东北证券 表 12:12 英寸晶圆厂 ( 在建 ) 序号 公司 地区 产品 投资额 ( 亿人民币 ) 月产能 / 片 投产时间 1 华力微 上海 ( 二期 ) 28nm-2-14nmC MOS 年前 2 晋华集成 泉州 4\55nm 基型 DRAM 代工 武汉新芯 ( 一期 ) 武汉 NORA\Nand Flash 年初 4 中芯国际 深圳 65nm-55nmCM OS 上半年 5 中芯国际 上海 14nm 晶圆代工 年初 6 台积电 南京 16nm 晶圆代工 下半年 7 长鑫 合肥 2nm DRAM 下半年 8 格罗方德 成都 22nm 晶圆代工 622 一期 2, 二期 年 9 AOS( 万国半导一期 2, 重庆晶圆代工 18.9 体 ) 二期 上半年 1 紫光 ( 一期 ) 成都 上半年 11 紫光 ( 一期 ) 南京 存储芯片 年 请务必阅读正文后的声明及说明 3 / 41

31 12 紫光 ( 一期 ) 深圳 NAND Flash DRAM 年底 13 兆基科技 合肥 DRAM 初 14 英特尔 大连 3D-NAND Flash 年 15 SK 海力士 无锡 1nm DRAM 年 数据来源 : 公开资料整理, 各公司官网, 东北证券 表 13:12 英寸晶圆厂 ( 规划 ) 序号 公司 地区 产品 投资额 ( 亿人民币 ) 月产能 / 片 投产时间 1 武汉新芯 ( 计划 ) 武汉 NOR\NAND Flash 待定 2 紫光 ( 计划 ) 成都 715 待定 待定 3 紫光 ( 计划 ) 南京 存储芯片 待定 待定 4 紫光 ( 计划 ) 深圳 NAND Flash DRAM 1944 待定 待定 5 华虹宏力 无锡 芯片代工, 功率器件 66 一期 4 待定 6 三星电子 西安 3D NAND 年 7 粤芯半导体 广州 上半年 8 士兰微 厦门 9nm-65nm 特色工艺芯片 1139 待定 待定 数据来源 : 公开资料整理, 各公司官网, 东北证券 服务本土推进国产化进程, 设备需求提供广阔下游市场由图表可知, 本土晶圆代工的市场将主要集中在国内, 预测 217 年中芯国际 华虹的晶圆代工在中国销售份额分别达到 47% 56%, 而上海华立 和武汉新芯达到 45%, 因此本轮新增的产能也将主要在国内消化, 进一步加快集成电路国产化进程 根据我们的测算, 在建 15 座 12 寸晶圆厂新增月产能达 117 万片, 投资总额达 5,74 亿元, 加上规划建设 8 座 12 寸晶圆厂新增月产能 87 万片以上, 投资总额达 7,312 亿元 从供给端来说, 总共新增的 24 万片以上的月产能将有力缓解国内晶圆市场自给不足的问题, 而从需求端来说, 按设备支出大约占半导体资本总支出的 6 估算, 未来 4 年, 晶圆厂建设释放的 1,352 亿元投资将为半导体设备行业提供 7,831 亿元的下游市场空间, 国内半导体设备企业有望凭借本土优势率先获益 表 14: 主要纯晶圆代工厂在中国的销售情况 ($M) 公司 215 年营 收 公司公司销 售份额 在中国的 晶圆市占 率 216 年 营收 公司公 司销售 份额 在中国 的晶圆 市占率 217 年 营收 ( 预 测 ) 公司销 售份额 在中国 的晶圆 市占率 台积电 2,115 8% 44% 2,655 9% 44% 3, % 中芯国际 1,75 48% 22% 1,485 51% 25% 1,455 47% 21% 联华电子 % 49 11% 8% % 9% 格罗方德 25 5% 5% 39 7% 6% 475 8% 7% 华虹 36 55% 7% % 6% % 7% 请务必阅读正文后的声明及说明 31 / 41

32 上海华立 % 3% % 3% % 3% 武汉新芯 75 43% 2% 85 44% 1% % 2% 其它 315 5% 7% 335 5% 6% 41 6% 6% 总计 4, ,1 11% 1 6,95 13% 1 数据来源 :IC Insights, 公司报告, 东北证券 5.2. 国产设备加速追赶, 重点公司已率先实现突破 半导体设备在国外起步早, 设备巨头把控半导体设备市场 半导体设备行业的技术含量很高, 全球前五大巨头占据 7 以上份额 目前全 球五大半导体巨头有应用材料 拉姆研究 阿斯麦 东京电子和科磊 其中, 荷兰 企业阿斯麦在光刻机领域具备垄断优势 应用材料涉及的设备领域广泛, 在离子刻 蚀设备 离子注入机 薄膜沉积等设备领域具有很强的优势 东京电子生产的具有 代表性意义的设备包括单晶圆沉积设备 清洗设备以及退火 氧化炉等 图 39:215 年各设备巨头公司的全球市场份额 % 7% 12% 14% 数据来源 :Bloomberg, 东北证券 应用材料阿斯麦拉姆研究 ( 科林 ) 东京电子科磊其他 集成电路关键设备被国外高度垄断, 多项核心设 TOP 3 的市占率达到 9 以上 以集成电路设备中难度最大的光刻机 (Litho) 为例, 荷兰公司阿斯麦 (ASML) 独占 75% 左右的份额, 霸占最高端的光刻机市场, 形成巨大的技术优势和行业垄断, 剩下尼康和佳能占据不到 2 的份额, 剩下的其他厂家只有不到 8% 的市场, 此种情况在其他设备领域同样存在, 这主要是因为国外半导体设备发展起步时间较早, 多年的技术研发和资本积累铸就了极高的行业壁垒 表 15: 集成电路关键设备占比 Litho PVD Etch Oxidation/ Diffusion Furnaces CVD Wet Station ASML 75.3 AMAT 84.9 LAM 52.7 Hitachi 43.1 AMAT 29.6 Screen 44.2 Nikon 11.3 Evatec 5.9 TEL 19.7 TEL 37.9 TEL 2.9 SEMES 22.3 Canon 6.2 Ulvac 5.4 AMAT 18.1 ASML 13.8 LAM 19.5 TEL 17. Others 7.2 Others 3.8 Others 9.5 Others 5.2 Others 3. Others 16.5 数据来源 :Gartner, 东北证券 制造工艺与国际先进水平存在差距, 晶圆厂成为关键切入点 请务必阅读正文后的声明及说明 32 / 41

33 国内晶圆厂采用的晶圆制造技术与国进先进水平相差两代 台积电 三星电子 格芯 英特尔等国际半导体巨头普遍在 217 年就迈入了 1nmFinFET 时代, 且即将在 219 年实现 7nm 先进制程的量产, 而中国的晶圆代工龙头中芯国际在 215 年才实现当下 28nm 主流制程的量产, 要到 218 和 219 年初才能分别实现 2nm 及 14nm 制程量产 由于先进制造技术在最终实现量产前需要在晶圆厂经历漫长的试产验证过程, 因此本土晶圆厂试用平台的匮乏会在一定程度上限制关键设备的研发进度, 这也是我国设备大幅落后国际先进水平的重要原因之一 图 4: 国内外晶圆厂制造工艺技术进程 数据来源 : 根据公开资料整理, 东北证券 国内企业正在通过国内外合作办厂的方式加速追赶晶圆厂制造工艺上的差距 上文中提到的新一轮晶圆产能的增长中, 有不少都是国内外合作, 进行高端工艺生产 据 IC Insights 报告显示, 联华电子正和福建晋华合作建设 3mm 的晶圆厂, 利用 32nm 加工技术去生产存储器 格罗方德携手成都市政府在 17 年第一季度开始建设 3mm 晶圆厂, 利用 13nm 和 18nm 加工技术生产集成电路, 预计 218 年上半年完工 台积电斥资 3 亿美元在南京建立晶圆代工厂, 是中国首次采用 16mm 工艺的工厂, 预计在 18 第二季度投产 与国外先进厂商的合作将有助于加速导入先进工艺, 并在量产中累积丰富的应用经验, 以便在充分消化吸收后高效率转化为自主研发成果 加速研发实现追赶, 国产设备竞争力迈上新台阶 2 专项集各家所长, 实现多个领域突破 2 专项即 极大规模集成电路制造技术及成套工艺 项目, 其基本模式是政府将攻克关键技术的任务和资金补助分配至已在相应领域取得一定研发优势的企业 高校和研究所, 并引导其建立良好合作关系以顺利完成从研发到验证再到量产的流程对接, 从而大大提高工艺追赶的效率, 现已有包括北方华创 长电科技 拓荆科技在内的 24 家企业在进行将近 4 项研发任务, 内容集中在晶圆制造加工及先进封装领域, 其中部分项目已经完成验收 216 年, 多种关键设备已经进入主流晶圆厂供应链, 国产设备发展迈上新台阶 近年来, 我国关键设备的国产化率有了大幅提升, 如国产 IC PVD 设备及刻蚀机的国内市占率已分别从 211 年的.2% 和.8% 跃升至 216 年的 1.3% 和 6.4% 另外, 请务必阅读正文后的声明及说明 33 / 41

34 根据中国海关进口信息显示,216 年集成电路设备进口中, 化学气相沉积装置进口 无增长, 等离子体干法刻蚀机进口同比减少 17.5% 现阶段,12 英寸晶圆先进封装 测试生产线设备已实现国产化, 生产线设备国产化率可达到 7 以上 图 41:IC PVD 设备国内市占率 图 42:IC 刻蚀机国内市占率 % 1 8% % 4% 2% 国产 PVD 出货量 ( 亿美元 ) 进口 PVD 出货量 ( 亿美元 ) 国内市占率 国产 IC 刻蚀机出货量 ( 亿美元 ) 进口 IC 刻蚀机出货量 ( 亿美元 ) 国内市占率 数据来源 : 互联网, 东北证券 数据来源 : 互联网, 东北证券 行业龙头的部分设备已获主流晶圆厂认可, 销售良好, 如北方华创的 28nm 金属物理气相沉积系统 PVD 已销售 16 台, 中微半导体设备的 22-14nm 单反应台等离子体刻蚀机已销售 24 台, 拓荆科技的 PECVD 已销售 7 台 216 年中芯国际北京厂使用国产集成电路晶圆设备加工的 12 英寸正式产品晶圆突破一千万片次, 这标志着集成电路国产设备在市场化大生产中得到充分验证 表 16:216 年实现销售的 12 英寸国产晶圆制造设备 设备名称 生产企业 1 28nm 金属物理气相沉积系统 PVD(16 台 ) 2 28nm 高密度等离子硅刻蚀机 (3 台 ) 3 铜互连单片清洗机 (4 台 ) 北京北方华创微电子装备有限公司 4 28nm 立式氧化炉 (6 台 ) 5 铜工艺单片退火设备 6 高性能硅外延设备 7 双反应台刻蚀除胶一体机中微半导体设备 ( 上海 ) 有限公司 nm 单反应台等离子体刻蚀机 (24 台 nm 大角度中束流离子注入机 (2 台 ) 北京中科信电子装备有限公司 nm 单片晶圆兆声波清洗设备 (14 台 ) 盛美半导体设备 ( 上海 有限公司 11 化学机械研磨设备天津华海清科有限公司 9-4nm 等离子体增强化学气相沉积设备 12 沈阳拓荆科技有限公司 (PECVD)(7 台 ) 数据来源 : 中国电子专用设备工业协会, 东北证券 表 17:216 年实现销售的国产先进封装生产线封测设备 设备名称 生产企业 1 大视场 / 双面对准步进投影光刻机上海微电子装备 ( 集团 ) 股份有限公司 2 单片晶圆喷胶机巧 (57 台 ) 沈阳芯源微电子设备有限公司 请务必阅读正文后的声明及说明 34 / 41

35 3 单片湿法去胶机 盛美半导体设备 上海 ) 有限公司 4 高端封装 (TSV) 等离子刻蚀机 (5 台 ) 中微半导体设备 ( 上海 ) 有限公司 5 同端封装 (TSV) 物理气相沉积系统 (PVD) 北京北方华创为电子装备有限公司 6 高密度倒装焊接设备 北京中电科电子装备有限公司 7 IC 封装基板用曝光设备 江苏影速光电技术有限公司 8 3mm 晶圆切割设备 北京中电科电子设备有限公司 9 晶圆湿制程生产线设 上海新阳半导体材料股份有限公司 1 WIP 全自动焊球三维检测设备 嘉兴景炎智能装备技术有限公司 11 WIP 全自动晶圆激光打标机 大族激光科技产业集团股份有限公司 12 全自动晶圆植球机 上海微松工业自动化有限公司 13 高端模拟 / 混合电路测试系统 北京华峰测控技术有限公司 14 高端数字 / 混合电路测试设备 北京冠中集创科技有限公司 15 SIP 吸收式全自动测试分选机 天津金海通自动化设备制造有限公司 16 高压大电流测试系统 杭州长川科技股份有限公司 17 极小型测试打印分选设备 江苏格朗瑞科技有限公司 数据来源 : 中国电子专用设备工业协会, 东北证券 本土半导体设备企业在先进制程的关键设备研发也已经取得了重大突破, 多项 14nm 制程的关键设备已经进入了验证阶段, 有望尽快投入商业化生产 表 18: 已进入认证阶段的 14nm 相关领先设备设备名称 厂商 主要工艺 1 硅刻蚀机 STI ETCH 2 HM PVD 设备 HM DEP 3 单片退火设备 Anneal 北方华创 4 LPCVD SiO2 Film Deposition 5 AI PVD 设备 AI DEP 6 ALD Hi-K insulator 7 介质刻蚀机 中微半导体 AIO ETCH PASS ETCH 8 光学尺寸测量仪器 睿励科学仪器 Film Thickness/OCD 9 清洗机 上海盛美 Wafer recycle 数据来源 : 中国电子专用设备工业协会, 东北证券 随着技术研发水平的快速提升, 本土半导体设备企业已经具备了与国外厂商竞争的实力, 极有希望凭借本土优势充分受益于近年来大陆晶圆厂的投建热潮, 实现关键设备的大量销售, 成为各大知名半导体企业生产设备长期供应商, 进一步扩大市场占有率 6. 重点公司推荐 6.1. 亚翔集成 : 国内半导体和面板产业风口来临, 洁净室工程龙头持续受益公司立足于半导体和面板洁净室工程行业, 近年来保持收入高增长 216 年实 现收入 28, 万元, 同比增长 88.35%, 归母净利润 16,524.5 万元, 同比增长 17.91%, 在收入端和利润端均保持高增速 请务必阅读正文后的声明及说明 35 / 41

36 图 43: 公司近年营收情况 图 44: 公司近年归母净利润情况 25, 2, 15, 1, 5, H , 16, 14, 12, 1, 8, 6, 4, 2, H 营业收入 ( 万元 ) 增速 归母净利润 ( 万元 ) 增速 国内半导体和面板处于产业风口, 下游强劲需求带动 6 亿洁净室工程需求 根据统计测算, 预计未来 3-4 年半导体 + 面板总投资达到年均 4,41 亿元, 对应洁净室工程 亿空间 (1-15%), 洁净室工程作为半导体和面板行业的前期投资将最先受益, 公司以 2 以上的市占率充分享受行业高增长红利 不同于以往的理解, 公司立足于技术壁垒极高的半导体和面板洁净室行业, 已成长为一家具备领先技术的工程技术公司 洁净室, 尤其是半导体和面板行业的洁净室壁垒极高, 目前亚翔的洁净室处理能力达到 CR4. 水平 ( 小于.1 微米, 纳米级别 ), 同时在国内唯一具备化学分析与空气采样技术, 在良率提升领域首屈一指, 国内相关产业链的洁净室均有此需求 同样在气流管理 微分子污染 微震动控制等领域均具有领先的技术优势, 不仅是一个洁净室工程公司, 更是一个专业的无尘环境打造者 台湾半导体和面板产能向大陆转移, 台系亚翔承接优势明显 近年来台湾半导体以及面板产能向大陆转移趋势明朗, 公司作为两家台湾系统集成企业之一, 深耕国内洁净室领域, 有明显的承接优势, 成为洁净室工程的优选企业 国内 缺芯少屏 现象逐步改善, 技术实力和行业经验为亚翔带来充沛订单 今年上半年, 公司接洽争取中的洁净室项目超过 1 个以上, 相比去年有巨大提升, 包括但不限于安徽长鑫 7.7 亿订单 福建晋华储器生产线 4.5 亿订单等 亚翔以领先的技术和丰富的行业经验获得下游和舰科技等数十个客户的高度认可, 客户粘性进一步增强, 不排除后续获得更大订单的可能性 6.2. 北方华创 : 泛半导体设备龙头, 技术领先抢占高速赛道北方华创由 七星华创 和 北方微电子 在今年合并而来, 在整合两个公司 资源后, 成为了目前国内的半导体龙头企业, 并且产品种类覆盖最广最多, 包括半 导体装备 ( 清洗机 氧化炉 PVD CVD) 真空设备 锂电装备 电子元器件等 公司 216 年实现收入 162, 万元, 同比增长 32.95%, 归母净利润 9,29.16 万元, 同比增长 46.51% 217 年前三季度实现收入 154, 万元, 同比增长 49.25%, 其中, 前三季度归母净利润 8,26.36 万元, 同比增长 27.86% 请务必阅读正文后的声明及说明 36 / 41

37 图 45: 公司近年营收情况 图 46: 公司近年归母净利润情况 18, 1 16, 2 16, 14, 12, 1, 8, 6, 4, 2, , 12, 1, 8, 6, 4, 2, H H -1 营业收入 ( 万元 ) 增速 归母净利润 ( 万元 ) 增速 国家政策推动集成电路产业国产化自主化 因集成电路长期依赖进口又有关国家信息的安全, 政府从 213 年起就大力支持集成电路国产化, 并希望能提升产业自主设计制造的能力, 已经通过产业基金引导社会资本和当地政府资源共同推进产业发展 而公司又是国有控股的背景, 将非常受益于大基金的投资 需求与自给率鲜明反差 我国下游产品如 3C 产品如智能手机, 智能语音的庞大需求, 与我国的晶圆自给率形成鲜明的反差 预计未来三年, 全球将在大陆投资约 65 亿元建晶圆厂 而在半导体生产领域, 企业近 6 资金都是用在购买半导体生产设备 在台湾半导体产业向大陆转移之中, 作为国产半导体设备的龙头, 北方华创将显著受益 公司亦覆盖光伏 锂电等产业 中国新能源产量在国家政策大力支持下, 在 215 年达到约 34 万辆 新能源汽车在消费者意识和国家意识中, 都被认为是大趋势, 因而锂电池未来市场需求将非常庞大 6.3. 晶盛机电 : 光伏 + 半导体双驱动, 单晶设备高增长晶盛机电是国内技术领先的晶体硅生长设备供应商, 晶体生长设备产品主要服 务于太阳能光伏产业, 半导体集成电路产业等 216 年公司实现收入 19, 万 元, 同比增长 84.44%, 归母净利润 2, 万元, 同比增长 94.76% 前三季度实 现收入 125, 万元, 同比增长 87.3, 其中归母净利润 25,39.77 万元, 同比 增长 95.33% 图 47: 公司近年营收情况 图 48: 公司近年归母净利润情况 12, 2 35, 2 1, 15 3, 15 8, 1 25, 1 6, 5 2, 15, 5 4, 1, 2, -5 5, H H -1 营业收入 ( 万元 ) 增速 归母净利润 ( 万元 ) 增速 请务必阅读正文后的声明及说明 37 / 41

38 晶圆供给不足, 国产化设备大需求 目前半导体在风口之上, 中国晶圆需求旺盛, 然而供给不足, 硅晶圆全年产量不足, 价格水涨船高 而供需不平主要原因是晶圆制造能力薄弱, 当中晶圆制造仪器如晶体硅生长设备, 行业壁垒也较高 晶盛机电是国内晶体硅生长设备产业的龙头企业, 工艺水准逐渐可与国际厂商比肩 在价格不断攀升的背景下, 中国在补足产能的过程中, 较高工艺水准的晶体硅生长国产设备将有很大需求 公司协同政府推动半导体产业基金 晶盛机电 中环股份协同无锡市人民政府下属的投资平台公司或产业基金确定项目投资主体, 共同在宜兴市启动建设集成电路用大硅片生产与制造项目, 以市场需求为导向, 规划和分期建设, 项目总投资约 3 亿美元, 一期投资约 15 亿美元 本次战略合作, 有利于提升我国半导体材料行业的水平, 缓解了半导体材料供应对中国半导体产业发展的制约 除了解决需求端之外, 该项目无疑将提升公司的市场竞争力和推进先进技术的研发 6.4. 长川科技 : 专注半导体检测设备, 成长通道逐步开启长川科技主要产品为测试机和分选机, 面向半导体制造封测领域, 是为数不多 的已掌握相关核心技术且实现规模化生产的企业 公司 216 年实现收入 12, 万元, 同比增长 22.22%, 归母净利润 4, 万元, 同比增长 66.25% 217 年前 三季度实现收入 9, 万元, 同比增长 7.13%, 归母净利润 2, 万元, 同比 增长 78.76% 图 49: 公司近年营收情况 图 5: 公司近年归母净利润情况 14, 14 4, , 1, 8, 6, 4, 2, , 3,5 3, 2,5 2, 1,5 1, H H 营业收入 ( 万元 ) 增速 归母净利润 ( 万元 ) 增速 半导体行业需求旺盛, 募集资金扩大产能 :216 年中国半导体销售 175 亿美元, 同比增长 9.3%, 占全球市场的 31.72%, 增速远大于全球平均水平, 在全球半导体市场中表现亮眼 公司主要从事集成电路专用设备的研发 生产和销售, 主要产品包括集成电路测试机和分选机 公司主营业务增长良好,214 至 216 年度, 公司营收复合增长达 25.93%, 目前公司的测试机和分选机产能已达到 1, 市场需求依旧旺盛, 公司产量已跟不上 公司在今年初上市, 计划投入 16,537 万元建设生产基地, 扩大产能 技术创新, 掌握核心技术 : 公司所处的集成电路专用设备行业属于技术密集型行业, 是多门类跨学科知识的综合应用, 具有较高的技术门槛 公司掌握了集成电路测试设备的相关核心技术, 是国内为数不多的可以自主研发 生产集成电路测试设备的企业 目前, 公司生产的集成电路测试机和分选机产品已获得长电科技 华天科技 士兰微 华润微电子 日月光等多个一流集成电路企业的使用和认可 虽 请务必阅读正文后的声明及说明 38 / 41

39 然公司产品技术已达国内领先水平, 但与国际知名企业相比仍存在一定差距, 公司 预计投入 6,713 万元研发中心, 保持市场竞争力 6.5. 至纯科技 : 国内高纯工艺系统稀缺标的, 受益半导体行业高增长至纯科技始终致力于为高端先进制造业企业提供高纯工艺系统的解决方案 系 统解决方案涵盖了提供整个系统的设计 选型 制造 安装 测试 调试和系统托 管服务, 提供的系统和专业服务广泛应用于半导体 微电子 生物医药 光伏 光 纤 TFT-LCD LED 等领域 公司 216 年实现收入 26,329.8 万元, 同比增长 26.89%, 归母净利润 4,53.92 万元, 同比增长 156% 217 年前三季度实现收入 17, 万元, 同比增长 26.1%, 归母净利润 3, 万元, 同比增长.47% 图 51: 公司近年营收情况 图 52: 公司近年归母净利润情况 3, 5 5, 2 25, 4 4,5 4, 15 2, 3 3,5 3, 1 15, 2 2,5 1, 1 2, 1,5 5 5, 1, H H -5 营业收入 ( 万元 ) 增速 归母净利润 ( 万元 ) 增速 全球半导体平稳增长, 半导体行业向国内转移趋势明显 216 年中国半导体消费量达到 1,75 亿美元, 占全球的 31.72%, 从设备销售来看,216 年国内半导体设备的销售只占全球的 15.7%, 半导体的消费量与设备之间有巨大的差额, 行业向国内转移趋势明显, 国内目前共有 5475 亿元国家基金和地方基金的设立, 按照高纯工艺系统占产线投资的 5% 计算, 未来 5-1 年, 国内高纯工艺系统的市场空间为 273 亿, 公司作为国内高纯工艺系统的龙头, 将直接受益行业的高增长 国内高纯工艺系统龙头, 受益于半导体行业高增长 公司是国内高纯工艺系统领域的龙头,217 年上半年, 公司实现营业收入 15, 万元, 同比增长 46%, 其中半导体业务实现 8,26.11 万元, 占营业收入的 51%, 半导体业务已经从 213 年的 万收入快速增长至 216 年的 13,136.6 万元, 已经成为公司收入的主要来源, 随着国内半导体行业的高速发展, 国产设备直接受益, 未来公司半导体业务有望持续实现高增长 请务必阅读正文后的声明及说明 39 / 41

40 分析师简介 : 刘军 : 机械行业首席分析师,216 年加入东北证券研究所,213 年新财富最佳分析师第四名, 水晶球卖方分析师第四名 214 年新财富最佳分析师第五名 张晗 : 美国杜兰大学金融学硕士,216 年加入东北证券研究咨询分公司, 任机械行业研究助理 重要声明本报告由东北证券股份有限公司 ( 以下称 本公司 ) 制作并仅向本公司客户发布, 本公司不会因任何机构或个人接收到本报告而视其为本公司的当然客户 本公司具有中国证监会核准的证券投资咨询业务资格 本报告中的信息均来源于公开资料, 本公司对这些信息的准确性和完整性不作任何保证 报告中的内容和意见仅反映本公司于发布本报告当日的判断, 不保证所包含的内容和意见不发生变化 本报告仅供参考, 并不构成对所述证券买卖的出价或征价 在任何情况下, 本报告中的信息或所表述的意见均不构成对任何人的证券买卖建议 本公司及其雇员不承诺投资者一定获利, 不与投资者分享投资收益, 在任何情况下, 我公司及其雇员对任何人使用本报告及其内容所引发的任何直接或间接损失概不负责 本公司或其关联机构可能会持有本报告中涉及到的公司所发行的证券头寸并进行交易, 并在法律许可的情况下不进行披露 ; 可能为这些公司提供或争取提供投资银行业务 财务顾问等相关服务 本报告版权归本公司所有 未经本公司书面许可, 任何机构和个人不得以任何形式翻版 复制 发表或引用 如征得本公司同意进行引用 刊发的, 须在本公司允许的范围内使用, 并注明本报告的发布人和发布日期, 提示使用本报告的风险 本报告及相关服务属于中风险 (R3) 等级金融产品及服务, 包括但不限于 A 股股票 B 股股票 股票型或混合型公募基金 AA 级别信用债或 ABS 创新层挂牌公司股票 股票期权备兑开仓业务 股票期权保护性认沽开仓业务 银行非保本型理财产品及相关服务 若本公司客户 ( 以下称 该客户 ) 向第三方发送本报告, 则由该客户独自为此发送行为负责 提醒通过此途径获得本报告的投资者注意, 本公司不对通过此种途径获得本报告所引起的任何损失承担任何责任 分析师声明作者具有中国证券业协会授予的证券投资咨询执业资格, 并在中国证券业协会注册登记为证券分析师 本报告遵循合规 客观 专业 审慎的制作原则, 所采用数据 资料的来源合法合规, 文字阐述反映了作者的真实观点, 报告结论未受任何第三方的授意或影响, 特此声明 投资评级说明 买入 未来 6 个月内, 股价涨幅超越市场基准 15% 以上 股票投资评级说明 行业投资评级说明 增持中性减持卖出优于大势同步大势落后大势 未来 6 个月内, 股价涨幅超越市场基准 5% 至 15% 之间 未来 6 个月内, 股价涨幅介于市场基准 -5% 至 5% 之间 在未来 6 个月内, 股价涨幅落后市场基准 5% 至 15% 之间 未来 6 个月内, 股价涨幅落后市场基准 15% 以上 未来 6 个月内, 行业指数的收益超越市场平均收益 未来 6 个月内, 行业指数的收益与市场平均收益持平 未来 6 个月内, 行业指数的收益落后于市场平均收益 请务必阅读正文后的声明及说明 4 / 41

41 东北证券股份有限公司 网址 : 电话 : 地址 邮编 中国吉林省长春市生态大街 6666 号 中国北京市西城区锦什坊街 28 号恒奥中心 D 座 133 中国上海市浦东新区杨高南路 729 号 2127 中国深圳市南山区大冲商务中心 1 栋 2 号楼 24D 518 机构销售联系方式 姓名 办公电话 手机 邮箱 华东地区机构销售 袁颖 ( 总监 ) yuanying@nesc.cn 王一 wangyi@nesc.cn 王博 wangbo@nesc.cn 李寅 liyin@nesc.cn 杨涛 yangtao@nesc.cn 刘睿 liurui@nesc.cn 阮敏 ruanmin@nesc.cn 李喆莹 lizy@nesc.cn 李流奇 lilq@nesc.cn 齐健 qijian@nesc.cn 华北地区机构销售 李航 ( 总监 ) lihang@nesc.cn 殷璐璐 yinlulu@nesc.cn 温中朝 wenzc@nesc.cn 夏一然 xiayiran@nesc.cn 曾彦戈 zengyg@nesc.cn 金婷 jinting@nesc.cn 华南地区机构销售 邱晓星 ( 总监 ) qiuxx@nesc.cn 刘璇 liu_xuan@nesc.cn 王之明 wangzm@nesc.cn 林钰乔 linyq@nesc.cn 周逸群 zhouyq@nesc.cn 请务必阅读正文后的声明及说明 41 / 41

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

抑制剂之一, 也是国内目前唯一已申报临床的 CDK9 抑制剂类药品, 有望成为第一 个有效治疗 AML 的新型 CDK9 抑制剂, 市场空间巨大 除已申报临床的 QHRD107, 公司的 LS009 项目也处于临床前研究阶段, 预计明年申报临床 公司目前已建有以 大分子药物研发及小分子药物研发相结合

抑制剂之一, 也是国内目前唯一已申报临床的 CDK9 抑制剂类药品, 有望成为第一 个有效治疗 AML 的新型 CDK9 抑制剂, 市场空间巨大 除已申报临床的 QHRD107, 公司的 LS009 项目也处于临床前研究阶段, 预计明年申报临床 公司目前已建有以 大分子药物研发及小分子药物研发相结合 千红制药 (002550) 化学制药 / 医药生物发布时间 :2018-04-20 证券研究报告 / 公司点评报告 成本上升拖累业绩, 创新驱动长期发展 买入 上次评级 : 买入 事件 : 千红制药发布 2017 年年报, 实现营业收入 10.65 亿元, 同比增长 37.23%; 归母净利润 1.83 亿元, 同比下降 18.47%; 扣非后归母净利 润 0.87 亿元, 同比下降 30.37%;

More information

武汉控股 (600168) 水务 / 公用事业发布时间 : 证券研究报告 / 公司调研报告 武汉污水处理龙头, 布局华中业绩稳增长 增持 上次评级 : 增持 公司是武汉市水务龙头企业, 且为武汉城建系统唯一上市公司, 地方 国企有望跟随央企成为 PPP 模式新的主力 公司主营业务

武汉控股 (600168) 水务 / 公用事业发布时间 : 证券研究报告 / 公司调研报告 武汉污水处理龙头, 布局华中业绩稳增长 增持 上次评级 : 增持 公司是武汉市水务龙头企业, 且为武汉城建系统唯一上市公司, 地方 国企有望跟随央企成为 PPP 模式新的主力 公司主营业务 武汉控股 (600168) 水务 / 公用事业发布时间 :2017-12-22 证券研究报告 / 公司调研报告 武汉污水处理龙头, 布局华中业绩稳增长 增持 上次评级 : 增持 公司是武汉市水务龙头企业, 且为武汉城建系统唯一上市公司, 地方 国企有望跟随央企成为 PPP 模式新的主力 公司主营业务聚焦于污 水处理 自来水生产及隧道等城镇基础设施的投资 建设和运营 公 司各业务板块协同发展 区域经营优势明显

More information

东方财富 (300059) 互联网传媒 / 传媒发布时间 : 证券研究报告 / 公司点评报告 证券基金业务逆势增长, 一站式互金平台前景广阔 买入 上次评级 : 买入 投资事件 公司发布 2018 年年报和 2019 年一季度业绩预告 2018 年实现营业收 入 亿元

东方财富 (300059) 互联网传媒 / 传媒发布时间 : 证券研究报告 / 公司点评报告 证券基金业务逆势增长, 一站式互金平台前景广阔 买入 上次评级 : 买入 投资事件 公司发布 2018 年年报和 2019 年一季度业绩预告 2018 年实现营业收 入 亿元 东方财富 (300059) 互联网传媒 / 传媒发布时间 :2019-03-06 证券研究报告 / 公司点评报告 证券基金业务逆势增长, 一站式互金平台前景广阔 买入 上次评级 : 买入 投资事件 公司发布 2018 年年报和 2019 年一季度业绩预告 2018 年实现营业收 入 31.23 亿元, 同比增长 22.64%; 实现归母净利润 9.59 亿元, 同比增长 50.52% 公司预计 2019

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 ( 2018-02-26 TMT 芯片国产化大涨 : 政府大基金投入终 结果, 国产替代趋势不可逆 核心提示 芯片国产化指数大涨 : A 股芯片国产化概念板块 (884160.WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 (300474.SZ) 国科微(300672.SZ) 上海新阳 (300236.SZ) 北方华创(002371.SZ) 江丰电子 (300666.SZ)

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

上海市机器人行业协会信息 2016 年第 10 期 ( 总第 18 期 ) 编者按 : 聚焦安全协作创新未来推动机器人产业健康发展 加快上海科创中心建设, 助力产业升级 打造上海机器人生态圈和产业升级高地的战略思考 ( 一 ) 创新驱动, 深入探索机器人产业发展新模式 ( 二 ) 协同创新, 实现核心零部件和高端产品重大突破 ( 三 ) 开发共享, 构建长三角机器人产业发展新高地 ( 四 )

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

目录 1. 上涨个股行业分布和风格归因 风格收益统计 因子有效性追踪 组合收益追踪... 6 请务必阅读正文后的声明及说明 2 / 9

目录 1. 上涨个股行业分布和风格归因 风格收益统计 因子有效性追踪 组合收益追踪... 6 请务必阅读正文后的声明及说明 2 / 9 发布时间 :2018-07-22 证券研究报告 / 金融工程研究报告 东北证券金融工程复盘笔记 2018/07/21 每周复盘 : 上涨股票风格 行业和风格分析 : 就本周情况来看, 前 300 组合中各行业占比最高的为电子元器件 (37) 机械 (36) 计算机 (29) 电力及公用事业 (24) 基础化工 (24) 通信 (14), 个股加权涨幅最突出的为轻工制造 (20.8%) 商 贸零售 (12.5%)

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

房价提升驱动同店 RevPAR 增长, 中高端增长相对强劲 考虑新开门店爬坡期影响, 全部门店经营数据失真, 同店数据可比性更强 18Q2 如家同店 RevPAR 同增 4.3% ( 经济型 / 中端分别同增 4.1%/5.0%), 其中房价提升 7.0%( 经济型 / 中端分别提升 7.1%/4.

房价提升驱动同店 RevPAR 增长, 中高端增长相对强劲 考虑新开门店爬坡期影响, 全部门店经营数据失真, 同店数据可比性更强 18Q2 如家同店 RevPAR 同增 4.3% ( 经济型 / 中端分别同增 4.1%/5.0%), 其中房价提升 7.0%( 经济型 / 中端分别提升 7.1%/4. 首旅酒店 (600258) 旅游综合 / 休闲服务发布时间 :2018-08-30 证券研究报告 / 公司动态报告 增长强劲, 提价持续 买入 上次评级 : 买入 事件 : 首旅酒店发布 2018 年中报,18H1 公司实现营业收入 40.02 亿元 /+0.35%, 归母净利润 3.4 亿元 /+41.23%, 扣非后归母净利润 3.16 亿元 /+32.09% 其中 Q2 单季实现营收 20.78

More information

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化 2015 年上海工程技术大学本科分数线查询 学院 湖南辽宁新疆 最高分最低分一本线二本线最高分最低分一本线二本线最高分最低分一本线二本线 机械工程 468 458 446 381 能源与环境系统工程 504 501 500 419 449 444 446 381 机械设计制造及其自动化 ( 现代装备与控制工程 ) 计算机科学与技术 自动化 535 534 526 455 475 449 446 381

More information

动下, 百克生物营收 5.75 亿元, 同比增长 89.92%, 净利润 1.38 亿元, 同比增长 % 虽然长生生物事件对国内民众的疫苗接种主动性 造成较大影响, 但考虑到疫苗接种的必要性, 民众情绪有望较快恢复 并且长生生物停产使水痘疫苗与狂犬疫苗出现较大市场空白, 公司有 望借此抢

动下, 百克生物营收 5.75 亿元, 同比增长 89.92%, 净利润 1.38 亿元, 同比增长 % 虽然长生生物事件对国内民众的疫苗接种主动性 造成较大影响, 但考虑到疫苗接种的必要性, 民众情绪有望较快恢复 并且长生生物停产使水痘疫苗与狂犬疫苗出现较大市场空白, 公司有 望借此抢 长春高新 (000661) 生物制品 / 医药生物发布时间 :2018-08-22 证券研究报告 / 公司点评报告 生物药表现靓丽, 业绩持续高增长 买入 上次评级 : 买入 长春高新发布 2018 年半年度报告 :2018H1 公司营收 27.49 亿元, 同比增长 71.82%; 归母净利润 5.48 亿元 扣非后归母净利润 5.15 亿元, 分别同比增长 92.93% 88.37% 公司业绩高速增长,

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options)

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) 大连期货市场月报 DALIAN FUTURES MARKET MONTHLY REPORT 市场提要 本月要事 品种运行与价格 交易数据 产业资讯 美国农业部数据 主办 : 大连商品交易所 218 年第 5 期总第 15 期 5 内部资料 妥善保存 月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) CONTENTS 目录 5 月市场提要 1 本月要事

More information

旅游管理 3 电气自动化技术 3 酒店管理 3 智能控制技术 4 计算机网络技术 2 供热通风与空调工程技术 2 电子信息工程技术 2 汽车检测与维修技术 2 物联网应用技术 2 汽车营销与服务 2 会计 3 软件技术 2 财务管理 2 计算机网络技术 2 金融管理 2 电子信息工程技术 2 工商企

旅游管理 3 电气自动化技术 3 酒店管理 3 智能控制技术 4 计算机网络技术 2 供热通风与空调工程技术 2 电子信息工程技术 2 汽车检测与维修技术 2 物联网应用技术 2 汽车营销与服务 2 会计 3 软件技术 2 财务管理 2 计算机网络技术 2 金融管理 2 电子信息工程技术 2 工商企 广西普通高招计划 商务英语 2 机电一体化技术 2 商务日语 2 工业机器人技术 2 酒店管理 2 智能控制技术 2 电气自动化技术 2 汽车检测与维修技术 2 软件技术 2 汽车电子技术 2 物联网应用技术 2 软件技术 2 数控技术 4 计算机网络技术 2 会计 2 电子信息工程技术 2 财务管理 2 机械制造与自动化 2 工商企业管理 2 模具设计与制造 4 物流管理 2 材料成型与控制技术

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

东吴证券研究所

东吴证券研究所 证券研究报告 行业研究 食品饮料行业 食品饮料周报 + ( ) : 3.8% 1% 1.7% 17 : 1 1 14 217 4 16 S651592 mahb@dwzq.com.cn 21-6199762 1 688716 2 217413 17Q1 2 + 15%+18%+ 17Q1 + 217411 15%+35%+ 3 6872 2 + +3% 1 217411 2 4 6327 + 217411

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头,

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头, 机械设备行业行业报告行业深度报告 投资评级 : 推荐 ( 首次 ) 报告日期 :2018 年 06 月 26 日分析师曲小溪 010-88366060-8712 Email:quxx@cgws.com 执业证书编号 :S1070514090001 张如许 0755-83559732 Email:zhangruxu@cgws.com 执业证书编号 :S1070517100002 联系人 ( 研究助理

More information

北京市招生情况一览表 专业 年份 专业名称 2014 招生计划 最高分 文 2015 最低分 史 平均分 招生计划 最高分 类 文 2016 最低分 史 平均分 招生计划 最高分 类 文 最低分 史 平均分 类 金融学 财政学

北京市招生情况一览表 专业 年份 专业名称 2014 招生计划 最高分 文 2015 最低分 史 平均分 招生计划 最高分 类 文 2016 最低分 史 平均分 招生计划 最高分 类 文 最低分 史 平均分 类 金融学 财政学 北京市招生情况一览表 名称 财政学 国际经济与贸易 市场营销 旅游管理 8 0. 农林经济管理 人力资源管理 化产业管理 法学 0 0 0 法学 经济法方向 0 8 广告学 新闻学 税收学 理 工 理 工 理 0 96 99 管理科学 工程管理 7 信息管理与信息系统 8 8 8 电子商务 7. 工商管理 物流管理 财务管理 7 7 7 金融数学 7 7 7 经济统计学 8 8 8 0 0 0 工

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

公司新老客户业务增长快, 带动交易类业务收入大幅增长 2017 年上半年公司实现 营业收入 亿元, 同比增长 45.86%, 主要是由于上半年公司 ICT 领域的大客户 小米和海康威视以及医疗器械领域的客户业务快速增长, 带动交易类业务收入同比 增长 48.32%, 服务类业务出现小幅下

公司新老客户业务增长快, 带动交易类业务收入大幅增长 2017 年上半年公司实现 营业收入 亿元, 同比增长 45.86%, 主要是由于上半年公司 ICT 领域的大客户 小米和海康威视以及医疗器械领域的客户业务快速增长, 带动交易类业务收入同比 增长 48.32%, 服务类业务出现小幅下 普路通 (002769) 物流 / 交通运输发布时间 :2017-08-25 证券研究报告 / 公司点评报告 传统供应链业务增速快, 投资收益大幅下降拖累业绩 增持 上次评级 : 首次覆盖 报告摘要 : 事件 : 普路通发布 2017 年中报, 公司实现营业收入 22.24 亿元, 同 比增长 45.86%, 归属于上市公司股东净利润 0.94 亿元, 同比下降 17.32%, 对应 EPS 为 0.25

More information

目录 1. 上涨个股行业分布和风格归因 风格收益统计 基金仓位估测及行业配臵 因子有效性追踪 组合收益追踪... 7 请务必阅读正文后的声明及说明 2 / 9

目录 1. 上涨个股行业分布和风格归因 风格收益统计 基金仓位估测及行业配臵 因子有效性追踪 组合收益追踪... 7 请务必阅读正文后的声明及说明 2 / 9 发布时间 :2018-05-05 证券研究报告 / 金融工程研究报告 东北证券金融工程复盘笔记 2018/05/05 每周复盘 : 行业和风格分析 : 就本周情况来看, 前 300 组合中各行业占比最高的为医药 (42) 电 子元器件 (26) 食品饮料 (25) 基础化工 (24) 机械 (22) 计算 机 (16), 个股加权涨幅最突出的为石油石化 (12.0%) 餐饮旅游 (11.4%) 建材

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!"#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+, " $ 2 * ' /+ / / / (+ 5 (/(

第 期 牛文翰等 模板辅助合成氮掺杂的多孔碳基氧还原电催化剂的研究进展!#$ %&' ' () * +,,,,,,( *,( - -, ( '+, *, -,,, +, ',,. /, ',,+,  $ 2 * ' /+ / / / (+ 5 (/( 第 # 卷第 # 期 # 年 月 =2>3(8 &27& "432"? @543A B 1%&# &&&( %&# 8-*%&&# & - % # CD%1/)* / % # # & # 9&,%&# " &%&./01 &-. # 9& ; ##&&&&&&&&&&&&&&&&&&&&&&& ( -& ; # #; ; & &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& 9CC1/)*

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

Management2.0: Competitive Advantage through Business Model Design and Innovation

Management2.0: Competitive Advantage through Business Model Design and Innovation 2014-2015 年中国半导体产业研究报告 2014-2015 年中国半导体产业研究报告 包含以下内容 : 1 全球半导体市场与产业分析 2 中国半导体市场与产业分析 3 11 家中国 IC 设计企业研究 4 5 家中国晶圆代工企业研究 5 4 家中国封测企业研究 根据中国半导体协会的数据,2014 年整个半导体产业链的总值超过 3000 亿人民币, 但与产品相关的产值只有 1047 亿人民币 (

More information

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括 同步相量测量装置 网络报文记录分析装置 时间同步装置 通过检测的装置清单如下 : 1. 北京四方继保自动化股份有限公司 1 间隔测控 CSI-200F-DA-1 北京四方继保自动化股份有限公司

More information

新安股份 / 公司点评报告图持股比例分别为 75% 25%), 以及先行在连续生产硅氧烷聚合物 液体硅橡胶基胶 增强氯硅烷副产品能力及其它有机硅新材料多个领域进行深入探讨合作 新安迈图 20 万吨有机硅单体生产及品质已基本达到国际先进水平, 总体具备产业向中下游产业链延伸的基础和条件, 国内有机硅企

新安股份 / 公司点评报告图持股比例分别为 75% 25%), 以及先行在连续生产硅氧烷聚合物 液体硅橡胶基胶 增强氯硅烷副产品能力及其它有机硅新材料多个领域进行深入探讨合作 新安迈图 20 万吨有机硅单体生产及品质已基本达到国际先进水平, 总体具备产业向中下游产业链延伸的基础和条件, 国内有机硅企 新安股份 (600596) 化学制品 / 化工发布时间 :2018-09-28 证券研究报告 / 公司点评报告 主营产品景气存续, 有机硅旺季有望止跌, 草甘膦价格看涨 报告摘要 : [Table_Summary] 事件 :(1) 公司预计 2018 年前三季度归母净利润 11.5~11.7 亿元, 同 比增长 396%~405% ( 2) 与美国迈图集团签订战略合作框架协议, 双 方考虑优化调整新安迈图的股权结构,

More information

2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2

2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2 上海市机器人行业协会信息 2016 年第 4 期 ( 总第 12 期 ) 机器人产业发展规划 (2016-2020 年 ) 解读 1. 发展现状 1 2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2 4. 需求在哪, 机会就在哪 5. 领头品类是工业生产和公共服务 3 4 6. 在这些行业主力推广使用 7. 五类关键零部件 5 8. 创新平台, 标准体系, 检测认证, 一个都不能少 9.

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information

[Table_Summary] 力, 自 主 研 发 的 新 仙 剑 奇 侠 传 月 流 水 突 破 5000 万, 圣 斗 士 星 矢 : 重 生 持 续 位 于 App Store 排 行 榜 前 列, 并 通 过 投 资 研 发 团 队 和 自 研 团 队, 形 成 了 IP 快 速 变 现

[Table_Summary] 力, 自 主 研 发 的 新 仙 剑 奇 侠 传 月 流 水 突 破 5000 万, 圣 斗 士 星 矢 : 重 生 持 续 位 于 App Store 排 行 榜 前 列, 并 通 过 投 资 研 发 团 队 和 自 研 团 队, 形 成 了 IP 快 速 变 现 [Table_MainInfo] [Table_Title] [Table_Invest] 凯 撒 股 份 (002425) 文 化 传 媒 / 传 媒 发 布 时 间 :2016-08-26 证 券 研 究 报 告 / 公 司 动 态 报 告 净 利 润 大 幅 提 升, 泛 娱 乐 转 型 效 果 显 著 买 入 上 次 评 级 : 首 次 覆 盖 报 告 摘 要 : [Table_Summary]

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排 机械原理包装工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理包装工程 2016 上课 16 4 9-12 A-202 汪成龙 机械原理 2015 上课 16 4 9-12 A-202 汪成龙 机械原理机械电子工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理 机械原理 机械原理 机械原理 电路原理 电路原理 电路原理 2015 上课 16 4 9-12 A-202

More information

省份 科类 本一线 出档线 人数 最高分 最低分 平均分 理 青海 文 理 山东 文 理

省份 科类 本一线 出档线 人数 最高分 最低分 平均分 理 青海 文 理 山东 文 理 厦门大学 2006 年普高招生分省分专业录取分数统计表 省份 科类 本一线 出档线 人数 最高分 最低分 平均分 文 573 600 25 638 601 612.7 安徽 理 566 615 74 653 615 630.1 理 ( 国防生 ) 566 596 12 621 596 607.2 北京 文 516 574 24 605 574 586.3 理 528 593 59 646 596 610.7

More information

<4D F736F F D DC9F2D1F4BBFAB4B2C4EAB1A8B5E3C6C0A3BACBC4BCBEB6C8D2B5BCA8B5CDD3DAD4A4C6DAA3ACB2FAC6B7BDE1B9B9B5F7D5FBCEC8B2BDCDC6BDF82D E646F63>

<4D F736F F D DC9F2D1F4BBFAB4B2C4EAB1A8B5E3C6C0A3BACBC4BCBEB6C8D2B5BCA8B5CDD3DAD4A4C6DAA3ACB2FAC6B7BDE1B9B9B5F7D5FBCEC8B2BDCDC6BDF82D E646F63> 司研究报告联机构可能会持有报告中所提到的公司所发行的证券头寸并进行交易, 还可能为这些公司提供或争取提供投资银行业务服务 本报告版权归我公司所有 公沈阳机床 (000410) 年报点评 四季度业绩低于预期, 产品结构调整稳步推进 工业 / 通用机械 谨慎推荐 维持评级 发布时间 :2011 年 2 月 25 日 投资要点 : 公司公布 2010 年年报 : 公司 2010 年营业收入为 80.46

More information

Microsoft Word _ doc

Microsoft Word _ doc 212 1 4 S1851191 755-82485176 zhengzhenyuan@fcsc.cn 12 3 CNY/USD=6.39 4.. 13. -91. 1. 51. R1 (%) 3.25 R7 (%) 5.32-1 -2-3 -4-5 -6-7 -8 1Y 2Y 3Y 5Y 7Y 1Y 12.31-12.23 2111226 1-11 5.6% (29%) (21.7%) (24.5%)

More information

营收增速边际向上, 公司业绩保持增长 2017 年前三季度实现营业收入 亿元, 同比增长 29.41%, 其中第三季度实现营业收入 8.17 亿元, 同比增长 46.12% 前三季 度公司实现归属母公司股东净利润 1.34 亿元, 同比增长 %, 其中第三季度实 现归属母公司

营收增速边际向上, 公司业绩保持增长 2017 年前三季度实现营业收入 亿元, 同比增长 29.41%, 其中第三季度实现营业收入 8.17 亿元, 同比增长 46.12% 前三季 度公司实现归属母公司股东净利润 1.34 亿元, 同比增长 %, 其中第三季度实 现归属母公司 晋亿实业 (601002) 通用机械 / 机械设备发布时间 :2017-10-25 证券研究报告 / 公司点评报告 晋亿实业 (601002): 产品价格上涨, 存货优势显现 公司公告 : 公司发布公告,2017 年前三季度实现营业收入 21.11 亿元, 同比增长 29.41% ; 实现归属母公司股东净利润 1.34 亿元, 同比增长 203.95%; 实现扣非归母净利润 1.18 亿元, 同比增长

More information

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需 第三章 中国集成电路产业发展现状 第一节整体状况 2006 年, 中国集成电路产业持续高速发展, 规模首次突破千亿元大关, 达到 1006.3 亿元, 同比增长达到 43.3% ; 从增长速度上看,2006 年集成电路产业市场规模与总产量的同比增幅与 2005 年相比, 均有较大幅度的提高 2006 年, 中国半导体产业 IC 设计 制造和封测三业同步快速发展, 其中 IC 设计业发展更为 迅速,

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

公司研究报告

公司研究报告 证券研究报告 公司研究 / 首次覆盖 2017 年 05 月 11 日机械设备 / 专用设备 Ⅱ 投资评级 : 买入 ( 首次评级 ) 当前价格 ( 元 ): 48.48 合理价格区间 ( 元 ): 60~65 章诚 执业证书编号 :S0570515020001 研究员 021-28972071 zhangcheng@htsc.com 张騄 执业证书编号 :S0570515060001 研究员 021-28972073

More information

英美特殊关系 文化基础与历史演变

英美特殊关系 文化基础与历史演变 国别与地区 冯 梁 英美两国有着大致相同的文化背景 但自近代以来 英美两国的关系既不友好也不特殊 甚至还是对手 英美 特殊关系 的形成 与两国在世界 上的地位发生深刻变化有着密切联系 并在很大程度上是英国政治家刻意追求 的产物 英美 特殊关系 得以延续 主要是基于双方共同的战略利益而非单纯的文化因素 英国从 特殊关系 中得益匪浅 特别在欧洲事务上获得了仅次于 美苏的影响 但在世界其他地区 两国关系并无特殊可言

More information

获奖类别及等级 : 完成单位 : 主要完成人员 : 主要内容及重要影响 : 22

获奖类别及等级 : 完成单位 : 主要完成人员 : 主要内容及重要影响 : 22 获奖类别及等级 : 完成单位 : 主要完成人员 : 主要内容及重要影响 : 21 获奖类别及等级 : 完成单位 : 主要完成人员 : 主要内容及重要影响 : 22 获奖类别及等级 : 完成单位 : 主要完成人员 : 主要内容及重要影响 : 23 五 论文和专利 六 新承担和在研的国家科研任务 序号 项目名称 总经费 ( 万元 ) 起止年限 项目种类 24 七 国际合作与区域交流 完成了第四次横断山区植物多样性科学考察

More information

图 1: 零工经济生态结构 数据来源 : 东北证券, 公司公告 全球零工经济市场总规模超过 3 万亿美元, 具体由四部分构成 (1) 自由职业者 ( 中国叫个体工商户 )/ 自雇员工 / 创业者个人是零工经济最大组成群体, 这个群体的发达国家市场规模 亿美元 (2) 企业直接雇佣的临时性

图 1: 零工经济生态结构 数据来源 : 东北证券, 公司公告 全球零工经济市场总规模超过 3 万亿美元, 具体由四部分构成 (1) 自由职业者 ( 中国叫个体工商户 )/ 自雇员工 / 创业者个人是零工经济最大组成群体, 这个群体的发达国家市场规模 亿美元 (2) 企业直接雇佣的临时性 科锐国际 (300662) 文化传媒 / 传媒发布时间 :2017-12-26 证券研究报告 / 公司调研报告 技术驱动人力资源, 本土猎头蓄势待发 增持 上次评级 : 买入 公司概况 : 本土人力资源龙头, 一体两翼战略升级 科锐国际是国内领先的人力资源整体解决方案提供商, 公司于 2005 年 12 月成立 2017 年 6 月上市, 通过开展中高端人才访寻 招聘流程外包 (RPO--Recruitment

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5B7E2B2E2D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5B7E2B2E2D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体封测行业研究报告 2011-2012 年全球及中国半导体封测行业研究报告 包括以下内容 : 1 全球半导体产业概况 2 模拟半导体 MCU DRAM NAND 复合半导体产业现状 3 IC 制造产业现状 4 封测产业市场与产业 5 24 家封测厂家研究 独立的封测厂家通常称之为 OSAT 或 ASAT 1997 年时 OSAT 产业规模只有大约 51 亿 美元,

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半 218 年 4 月 1 日中小盘研究 半导体研究系列之二 ( 设备 ): 星星之火, 燎原之势渐起 中小盘伐谋主题伐谋 - 中小盘主题报告 孙金钜 ( 分析师 ) 吴吉森 ( 联系人 ) 21-68866881 sunjinju@xsdzq.cn 证书编号 :S2851812 21-68865595 wujisen@xsdzq.cn 国内半导体迎来新投资周期, 半导体设备市场持续向好 : 当前我国集成电路产品对外依存度较高,

More information

1. 本 周 观 点 2016 年 第 三 十 五 周 08/22-08/28 沪 深 300 下 跌 1.72%, 振 幅 2.76% 同 期 申 万 休 闲 服 务 指 数 上 涨 1.03%, 振 幅 2.55%, 旅 游 板 块 表 现 强 于 市 场, 板 块 的 逆 势 上 涨 一 方

1. 本 周 观 点 2016 年 第 三 十 五 周 08/22-08/28 沪 深 300 下 跌 1.72%, 振 幅 2.76% 同 期 申 万 休 闲 服 务 指 数 上 涨 1.03%, 振 幅 2.55%, 旅 游 板 块 表 现 强 于 市 场, 板 块 的 逆 势 上 涨 一 方 2015/8 2015/9 2015/10 2015/11 2015/12 2016/1 2016/2 2016/3 2016/4 2016/5 2016/6 2016/7 [Table_MainInfo] [Table_Title] 证 券 研 究 报 告 / 平 潭 岛 旅 游 获 批 准, 亚 洲 出 境 游 市 场 持 续 火 热 [Table_Invest] / 休 闲 服 务 发 布 时

More information

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074>

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074> 全球增速减缓, 中国风景独好 2008 年下半年半导体行业投资策略 天相资讯科技研究组赵磊 2008 年 6 月 21 半导体器件是元器件的重要组成部分 电子元器件行业的分类 半导体器件行业 : 1. 分为分立器件和集成电路 ; 2. 决定了电子产品的质量与性能 ; 3. 电子信息产业的重要组成部分 ; 4. 高科技 资本密集型行业 ; 5. 是信息产业的支柱 22 半导体产业产业链示意 我们通常提及的半导体产业除了半导体器件

More information

省份 批次 文科 重点线出档线最高分最低分平均分录取人数重点线出档线最高分最低分平均分录取人数 备注 山东 本一批 本一批

省份 批次 文科 重点线出档线最高分最低分平均分录取人数重点线出档线最高分最低分平均分录取人数 备注 山东 本一批 本一批 厦门大学 2007 年本科招生录取分数一览表 省份 批次 文科 重点线出档线最高分最低分平均分 录取人数 重点线出档线最高分最低分平均分 录取人数 备注 北京 本一批 528 562 621 572 591.6 23 531 613 655 613 631.9 52 本一批 574 580 638 584 612 23 545 559 643 594 615 67 非西藏生 广西 源定向西 625

More information

, ( ) :,, :,, ( )., ( ) ' ( ),, :,,, :,, ;,,,,,, :,,,, :( ) ;( ) ;( ),,.,,,,,, ( ), %,. %,, ( ),,. %;,

, ( ) :,, :,, ( )., ( ) ' ( ),, :,,, :,, ;,,,,,, :,,,, :( ) ;( ) ;( ),,.,,,,,, ( ), %,. %,, ( ),,. %;, :?? * 张军高远傅勇张弘 : 本文在中国的政治经济体制的框架内解释了改革以来, 尤其是上世纪 年代以来中国在建设和改善物质基础设施上所取得的显著成就 文章依据现有的文献和 省级面板数据, 不仅度量了改革以来中国的基础设施的存量变化和地区差距, 而且运用 方法检验了可解释基础设施投资支出变动模式的重要变量 本文发现, 在控制了经 济发展水平 金融深化改革以及其他因素之后, 地方政府之间在 招商引资

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

PowerPoint Presentation

PowerPoint Presentation The Rise of China IC Industry - As A Global Ecosystem Partner Lung Chu 居龙 President,SEMI China July 13,2017 Outline China s IC Development Why? Government Initiatives vs Market Forces Investments Opportunities

More information

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63>

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63> 上海工业自动化仪表研究所 上海西派埃温度仪表公司 前言 上海西派埃温度仪表公司系上海工业自动化仪表研究所温度测量仪表部创建的高科技型经济实体, 为温度仪表试验设备与温度控制系统专业生产单位 上海工业自动化仪表研究所温度测量仪表部从事温度测量仪表 温度仪表试验设备及温度控制系统的研究开发已有 40 多年历史, 不仅具有一支在研究开发 生产制造各类温度仪表 试验设备都极具丰富经验的技术队伍, 而且有国内外先进的试验条件与测试装备,

More information

Microsoft Word - B doc

Microsoft Word - B doc 和讯独家精彩千表咨询热线 :010-85650088 表 3-13 中国 A 行业 (24- 化学原料 ) 中公司最新基本状况表 ( 按公司流通排序 ) 报表日期 :2007 年 06 月 01 日 序号 / 代码 1-600108 公司简称 收盘价 理论价 总本 总流通 总流通值 流通占总 亚盛集团 11.13 元 5.49 元 14.41 亿 9.97 亿 111.0 亿 69.2% 元 中占

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

厦门大学2003年陕西省本一批录取情况统计表

厦门大学2003年陕西省本一批录取情况统计表 厦门大学 2003 年各省分专业招生录取分数统计表 北京天津河北山西内蒙古辽宁吉林黑龙江 上海 江苏 浙江 安徽 江西 山东河南 湖北 湖南 陕西 甘肃 青海 宁夏 新疆广西 海南 重庆 四川 贵州 云南 厦门大学 2003 年北京市本一批录取情况统计表 科类专业录取人数最低分最高分平均分 文史 经济学 3 519 557 536.8 国际经济与贸易 2 528 532 530 ( 共 21 人 )

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

经 济理论与经 济管理 第 期 我 国 汽 车产 业 政 策 和 发 展 战 略 陈建 国 国 家 发 展 与 改 革 委 员会 工 业 司 张宇贤 北京 国 家信 息 中心 发 展 研 究 部 实现 利 润 总 额 结构调整步 伐加快 我 国 汽 车产 业 发 展 基 本 情 况 加人 以来 在 投 资 消 费 的双 重拉 动下 国 汽 车产 业 摆 脱 了 长 达 了 个 快 速 发 展 阶段

More information

北京市人民政府关于 2013年度北京市科学技术奖励的决定 各区 县人民政府 市政府各委 办 局 各市属机构 为深入贯彻落实党的十八大和十八届三中全会精神 加快健全技术创新市场导向机 制 市政府决定 对在发展首都科技事业 促进首都经济社会发展中取得突出成绩的科 技人员和组织予以奖励 根据 北京市科学技

北京市人民政府关于 2013年度北京市科学技术奖励的决定 各区 县人民政府 市政府各委 办 局 各市属机构 为深入贯彻落实党的十八大和十八届三中全会精神 加快健全技术创新市场导向机 制 市政府决定 对在发展首都科技事业 促进首都经济社会发展中取得突出成绩的科 技人员和组织予以奖励 根据 北京市科学技 2013 年 北 京 市 科 学 技 术 奖 励 公 报 北 京 市 人 民 政 府 二 〇 一 四 年 一 月 1 北京市人民政府关于 2013年度北京市科学技术奖励的决定 各区 县人民政府 市政府各委 办 局 各市属机构 为深入贯彻落实党的十八大和十八届三中全会精神 加快健全技术创新市场导向机 制 市政府决定 对在发展首都科技事业 促进首都经济社会发展中取得突出成绩的科 技人员和组织予以奖励 根据

More information

厦门大学 2010 年本科招生分省录取情况统计 省份 科类 本一线出档线最高分 最低分 平均分 安徽 文史 理工 北京 文史 理工

厦门大学 2010 年本科招生分省录取情况统计 省份 科类 本一线出档线最高分 最低分 平均分 安徽 文史 理工 北京 文史 理工 厦门大学 2010 年本科招生分省录取情况统计 省份 科类 本一线出档线最高分 最低分 平均分 安徽 文史 573 624 631 624 627.9 理工 562 634 655 634 640.7 北京 文史 524 567 620 567 592.5 理工 494 583 648 587 609.3 福建 文史 557 594 622 594 603.5 理工 539 602 650 602

More information

nm 8 nm nm nm nm 8 nm 8 IC IC

nm 8 nm nm nm nm 8 nm 8 IC IC 100 100nm 8 nm 8 130 130-100 100nm nm 6 147 147 135 135 6 103 103 54 54 9 45 45 7 100 100nm 8 nm 8 IC IC 5 60 60 40 40 3500 3500 5 1600 1600mm mm X/Y/Z X/Y/Z 2200/400/400 2200/400/400mm mm 15 15m/min m/min

More information

和辉光电项目投资报告

和辉光电项目投资报告 区域协同, 打造长三角集成电路芯高地 上海集成电路产业投资基金 沈伟国 董事长 2018 年 3 月 15 日 目录 3 一 长三角集成电路产业发展现状 二 长三角集成电路产业发展优势 三 长三角集成电路产业发展建议 四 小结 长三角集成电路产业发展现状 4 中国集成电路已然形成四个各有特色的产业集聚区 (1) 上海为中心的长三 角 (2) 北京为中心的环渤海 (3) 深圳为中心的泛珠三角 (4)

More information

CHI_nisshin _2.pdf

CHI_nisshin _2.pdf Message & History ZAM 与顾客一起不断创造最大价值 这就是我们的使命 销售 我们的工作不是卖钢铁 而是为顾客制造钢板 就是说 我们通过钢铁产品为顾客提供问题的解决方案 为了迅速应对呈多样化的市场环境和顾客需求 具有高度专业知识水平的各种现场能 提供三位一体的 问题解决方案 力显得尤为重要 日新制钢自创业伊始至今 始终将与顾客直接沟通做为开展工作的基础 我们本着 为顾客着想 的共同理念

More information

山 东 省 重 点 行 业 技 术 发 展 白 皮 书 ( 二 ) 山 东 省 经 济 和 信 息 化 委 员 会 2016 年 7 月 前 言 推 进 供 给 侧 结 构 性 改 革, 必 须 牢 固 树 立 创 新 发 展 理 念 面 对 经 济 发 展 新 常 态 和 新 一 轮 全 球 产 业 变 革, 全 省 工 业 战 线 主 动 响 应 国 家 战 略, 积 极 调 整 发 展 思

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

中国科学技术大学 材料工程 05 校外调剂 0870 化学工程 吉林大学 应用化学 05 校外调剂 0870 化学工程 四川大学 制药

中国科学技术大学 材料工程 05 校外调剂 0870 化学工程 吉林大学 应用化学 05 校外调剂 0870 化学工程 四川大学 制药 045700000787 东北大学 07030 分析化学 05 校外调剂 07030 无机化学 68 66 94 04 34 063700000004 沈阳药科大学 07030 分析化学 04 校内跨专业调剂 070303 有机化学 73 56 9 00 30 0007008903 北京大学 0780Z 药学类 05 校外调剂 070303 有机化学 75 67 09 8 4 085750830 苏州大学

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 半导体核心装备龙头迎行业上升良机 北方华创 (002371) 深度报告 报告摘要 : 我们认为未来有三重机遇确保国内半导体迎来发展良机 半导体市场向国内转移 2017 年国内半导体市场销售额为 1315 亿美元, 占全球总销售额的 31.9%, 国内销售额同比增长 22.2%, 高于全球半导 体销售额的 21.6%, 未来几年这种市场转移趋势将持续 ; 半导体制造技术国内得到长足进步

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

山西省 丙酸氟替卡松乳膏 15g:7.5mg 山西省 石辛含片 0.6g*8 片 山西省 喷昔洛韦乳膏 10g:0.1g 山西省 盐酸洛美沙星乳膏 20g:60mg 山西省 盐酸特比萘芬片 0.125g*6 片 山西省 替硝唑片 0.5g*8 片 山西省 罗红霉素胶囊 0.15g*12 粒 山西省

山西省 丙酸氟替卡松乳膏 15g:7.5mg 山西省 石辛含片 0.6g*8 片 山西省 喷昔洛韦乳膏 10g:0.1g 山西省 盐酸洛美沙星乳膏 20g:60mg 山西省 盐酸特比萘芬片 0.125g*6 片 山西省 替硝唑片 0.5g*8 片 山西省 罗红霉素胶囊 0.15g*12 粒 山西省 省份 中标报价品种信息 规格 北京市 石辛含片 0.6g*8 片 北京市 喷昔洛韦乳膏 10g:0.1g 北京市 辛伐他汀片 ( 薄膜衣 ) 10mg*10 片 北京市 丙酸氟替卡松乳膏 15g:7.5mg 北京市 阿奇霉素分散片 0.25g*6 片 北京市 苯磺酸氨氯地平片 5mg*14 片 北京市 糠酸莫米松乳膏 10g:10mg 北京市 丁酸氢化可的松乳膏 20g:20mg 北京市 盐酸特比萘芬乳膏

More information

!!

!! 涂正革 肖 耿 本文根据中国 个省市地区 年规模以上工业企业投入 产出和污染排放数据 构建环境生产前沿函数模型 解析中国工业增长的源泉 特别是环境管制和产业环境结构变化对工业增长模式转变的影响 研究发现 现阶段中国工业快速增长的同时 污染排放总体上增长缓慢 环境全要素生产率已成为中国工业高速增长 污染减少的核心动力 环境管制对中国工业增长尚未起到实质性抑制作用 产业环境结构优化对经济增长 污染减少的贡献日益增大

More information

专 业 最高分最低分一本线最高分最低分一本线最高分最低分一本线 临床医学 ( 5+3 一体化 ) 口腔医学 ( 5+3 一体化 )

专 业 最高分最低分一本线最高分最低分一本线最高分最低分一本线 临床医学 ( 5+3 一体化 ) 口腔医学 ( 5+3 一体化 ) 目 录 安徽省 1 北京市 2 福建省 3 甘肃省 4 广西壮族自治区 5 贵州省 6 海南省 7 河北省 8 河南省 9 黑龙江省 10 湖北省 11 湖南省 12 吉林省 13 江苏省 14 江西省 15 辽宁省 16 内蒙古自治区 17 宁夏回族自治区 18 山东省 19 山西省 20 陕西省 21 四川省 22 天津市 23 新疆维吾尔自治区 24 云南省 25 浙江省 26 重庆市 27

More information

Microsoft Word - B doc

Microsoft Word - B doc 和讯独家精彩千表 咨询热线 :010-85650088 表 3-19 中国 A 股财务级 (12-12 危险 ) 中公司最新基本状况表 ( 按公司流通股排序 ) 报表日期 :2007 年 06 月 05 日 序号 / 代码 公司简称 收盘价 理论价 总股本 总流通股 总流通值 流通占总股 1-930 丰原生化 6.86 元 3.34 元 9.64 亿股 7.69 亿股 52.7 亿元 79.7% 公司在财

More information

公开课培训计划 北京 号课程名称天数 1. 审核员资格 ( 第一 / 第二 / 第三方审核员 ) 价格 ( 人民币含税 ) 一月二月三月四月五月六月七月八月九月十月十一月十二月 IATF 第一 / 第二方审核员资格 IATF 第一 / 第二方审核员考试

公开课培训计划 北京 号课程名称天数 1. 审核员资格 ( 第一 / 第二 / 第三方审核员 ) 价格 ( 人民币含税 ) 一月二月三月四月五月六月七月八月九月十月十一月十二月 IATF 第一 / 第二方审核员资格 IATF 第一 / 第二方审核员考试 北京 1. 审核员资格 ( 第一 / 第二 / 第三方审核员 ) 1.1.1 IATF 16949 第一 / 第二方审核员资格 1.1. IATF 16949 第一 / 第二方审核员考试准备 核心工具 1.1. IATF 16949 第一 / 第二方审核员考试,900-7 0-19-1 18-0 1 1,00 8 1 1 1,00 1 4 1.1.4 IATF 16949 面向管理层 1,800 按需求排课

More information

中国电子信息产业发展研究院 赛迪顾问股份有限公司 HK

中国电子信息产业发展研究院 赛迪顾问股份有限公司 HK 中国集成电路产业地图白皮书 中国电子信息产业发展研究院 赛迪顾问股份有限公司 HK08235 2 前言 一 研究目的 2011年1月 国务院正式发布 国务院关于印发进一步鼓励软件产 业和集成电路产业发展若干政策的通知 国发 2011 4号 政策进 一步明确了集成电路产业的重要地位 即 软件产业和集成电路产 业是国家战略性新兴产业 是国民经济和社会信息化的重要基础 未来中国集成电路产业将迎来加速发展和布局调整的重要机遇

More information

从 4 月运营数据看, 南航 国航供需关系持续改善 1) 需求端 : 南航 国航 东航 4 月整体 RPK 增速分别为 8.7% 4.5% 9.1%, 其中国内 RPK 增速分别为 9.4% 2.6% 8.2%, 国际线 PRK 增速分别为 8.5% 9.4% 10.9%; 2) 供给端 : 南航

从 4 月运营数据看, 南航 国航供需关系持续改善 1) 需求端 : 南航 国航 东航 4 月整体 RPK 增速分别为 8.7% 4.5% 9.1%, 其中国内 RPK 增速分别为 9.4% 2.6% 8.2%, 国际线 PRK 增速分别为 8.5% 9.4% 10.9%; 2) 供给端 : 南航 2016/5 2016/6 2016/7 2016/8 2016/9 2016/10 2016/11 2016/12 2017/1 2017/2 2017/3 2017/4 证券研究报告 / 行业动态报告 供需增速放缓, 客座率维持高位 航空运输 / 交通运输发布时间 :2017-05-17 优于大势 上次评级 : 优于大势 报告摘要 : 从 4 月运营数据看, 南航 国航供需关系持续改善 1) 需求端

More information

电感-中文单页

电感-中文单页 o 360SERVICE comprehensive scheme for the sensor VALUE TECHNOLOGY QUALITY 着眼 大市场 高科技产品 建设国内一流 国际知名的大型综合化传感器及工业自动化产业集团 十余年来的拼搏使兰宝得以持续稳定地发展 完成了一个中国传感器企业由小到大 由弱到强 并迅速走向世界的发展历程 兰宝愿与国内外同行携手合作 共同为全球客户提供优质的产品和服务

More information

36 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 2 11:20-11:55 37 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 广东 2 13:00-13:35 38 SQ2016YFHZ 能源相关方

36 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 2 11:20-11:55 37 SQ2016YFHZ 能源相关方向组 7 月 17 日 ( 星期一 ) 广东 2 13:00-13:35 38 SQ2016YFHZ 能源相关方 项目序号 重点研发计划视频评审战略性国际科技创新合作重点专项答辩项目信息 项目编号分组名称答辩日期答辩地点答辩时间 1 SQ2016YFHZ020873 城镇化与公共安全相关方向组 7 月 17 日 ( 星期一 ) 黑龙江 1 9:00-9:35 2 SQ2016YFHZ021362 城镇化与公共安全相关方向组 7 月 17 日 ( 星期一 ) 江苏 1 9:35-10:10 3 SQ2016YFHZ021367

More information

Sector ― Subsector

Sector ― Subsector 机械设备 证券研究报告 板块最新信息 增持 公司名称 股票代码 收盘价 评级 北方华创 002371.CH 37.45 买入 晶盛机电 300316.CH 20.92 买入 长川科技 300604.CH 56.65 买入 至纯科技 603690.CH 19.49 买入 资料来源 : 万得, 中银证券以 2017 年 12 月 19 日当地货币收市价为标准 主要催化剂 / 事件 下游中芯国际等厂商技术持续突破

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

晋亿实业 / 公司点评报告业绩积极向上, 营收净利润大幅增长 2017 年上半年公司实现营业收入 亿元, 同比增长 20.70%, 其中第二季度实现营业收入 7.66 亿元, 同比增长 29.06% 2017 年上半年公司实现归属股东净利润 8204 万元, 同比增长 %;

晋亿实业 / 公司点评报告业绩积极向上, 营收净利润大幅增长 2017 年上半年公司实现营业收入 亿元, 同比增长 20.70%, 其中第二季度实现营业收入 7.66 亿元, 同比增长 29.06% 2017 年上半年公司实现归属股东净利润 8204 万元, 同比增长 %; 晋亿实业 (601002) 通用机械 / 机械设备发布时间 :2017-09-01 证券研究报告 / 公司点评报告 晋亿实业 (601002): 毛利大幅提升, 业绩放量增长 增持 上次评级 : 增持 报告摘要 : 公司公告 : 公司发布半年报,2017 年上半年公司实现营业收入 12.95 亿元, 同比增长 20.70%; 实现归母净利润 8204 万元, 同比增长 285.50%; 实现扣非归母净利润

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9>

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9> P/N: 品名 DY-U-001:UL80 105 PVC 电线绝缘料 ( 通用型 ) 适用于 标准额定耐温等级 80 105 的 PVC 电线绝缘材 料 ( 绝缘厚度大于 0.76MM, 导体截面积小于 20AWG 规格的电子线请选 择 DY-U-008 专用型 ) 产品符合欧盟 ROHS 2.0 REACH 等环保要求 Volume resistivoty 体积电阻率 Ω.m 1.0 10 11

More information

untitled

untitled 2015 01 19 300 40% 20% 0% -20% Oct-12 Jan-13 Apr-13 Jul-13 S1060513080002 010-59730729 Jiaowenchao233@pingan.com.cn S1060114080008 010-59730723 luoxiaojuan567@pingan.com.cn 2015 6213 11132 107.7 2014 2015

More information

主业竞争能力突出, 收入维持增长 2016 年全年公司实现营业收入 万元, 同比增长 32.78%, 其中第四季度实现营业收入 万元, 同比增长 42.65% 报告期内, 公司营业收入继续维持较高速度的增长, 主要原因是教育 电信和公共行业等应用产品的增长, 随着公司在教

主业竞争能力突出, 收入维持增长 2016 年全年公司实现营业收入 万元, 同比增长 32.78%, 其中第四季度实现营业收入 万元, 同比增长 42.65% 报告期内, 公司营业收入继续维持较高速度的增长, 主要原因是教育 电信和公共行业等应用产品的增长, 随着公司在教 科大讯飞 (002230) 人工智能 / 中小盘发布时间 :2017-03-24 证券研究报告 / 公司点评报告 应用场景不断拓展, 厚积薄发潜力无限 增持 上次评级 : 增持 报告摘要 : 业绩摘要 : 公司 2016 年全年共实现营业收入 33.20 亿元, 较去年同 期增长 32.78%; 实现归属于上市公司股东净利润 4.84 亿元, 同比增长 13.90% 坚定布局教育, 扎实推进不断前行

More information