2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13

Size: px
Start display at page:

Download "2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13"

Transcription

1 [Table_MainInfo] 行业研究 / 信息设备 / 电子元器件 行业深度报告 证券研究报告 2016 年 09 月 12 日 [Table_InvestInfo] 投资评级增持维持 市场表现 [Table_QuoteInfo] 电子元器件 /8 2015/ /2 2016/5 资料来源 : 海通证券研究所 海通综指 相关研究 [Table_ReportInfo] AMAT 新接订单继续创新高, 彰显国内半导体设备市场空间 海通电子周报 2016 年第 29 期 传感器 感知世界的力量 摄像头产业链价值重构的机会 海通电子周报 2016 年第 28 期 [Table_AuthorInfo] 从海外巨头成长路径看国产集成电路设备的投资机会 [Table_Summary] 投资要点 : 看好半导体设备未来 10 年发展, 国产厂商终将通过产业整合分享大蛋糕! 国际主流半导体设备大厂都经历了四十年以上的发展, 导致行业结构相对固化 但是由于半导体产业转移与国内政策资本的积极推动, 大陆的建厂潮驱动国内半导体资本支出中枢迅速提升, 国产设备厂商迎来了千载难逢的发展机会 我们仔细分析 AMAT 和 ASML 的发展历史, 结合国内半导体环境, 指出依托并购整合是国产设备厂商实现迅速崛起的最佳路径 国际设备龙头中国大陆营收与股价持续创新高, 彰显大陆市场投资机会 应用材料公司三季度新接订单再创历史新高, 环比增长 26%, 其中中国大陆新接订单增幅最大,3 季度新接订单为 8.49 亿美元, 同比增加 92.08% 根据我们的产业研究跟踪, 除了应用材料, 我们预计半导体设备龙头在中国大陆的业绩有望全线高增长 与业绩相对应的是国外半导体设备龙头厂商股价持续走高, 纷纷突破历史记录 ; 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升, 半导体设备并不是主题性投资机会 众多晶圆厂的落地显著提升我国大陆半导体资本支出中枢, 而设备投资额可达到一条新的晶圆生产线总投资额的 65%~75% SEMI 的数据显示,2015 年全球半导体设备市场营收达 373 亿美元, 其中中国市场营收 48.8 亿美元, 占比 13.09%, 较 2014 年上升 1.43% 预计 2016 年中国设备市场营收为 53.2 亿美元, 增长 9.02%, 占比进一步提升至 14.07% 可以预见, 中国市场是未来 10 年半导体设备市场增长最大的动力 半导体设备市场大蛋糕必将有中国厂商一席之地 尽管与国外大厂有技术差距, 但国内的设备厂商正处于一个进步 崛起的阶段 一方面, 通过自主研发我国半导体设备除了前道光刻基本都已进入晶圆大厂, 后道光刻上海微装进入长电科技, 薄膜淀积七星电子进入华力等 另一方面, 政策的强力扶持将会使得半导体设备国产率迅速提升 ; 分析师 : 陈平 Tel:(021) cp9808@htsec.com 证书 :S 并购与创新驱动是半导体设备厂商两种清晰发展模式 分析 AMAT 与 ASML 的成长历史, 我们发现创新驱动和并购是推动设备厂商成长的主要动力 创新驱动需要长期的技术积累与研发资金支持, 而并购有效降低了研发成本及风险, 加速了新技术集成 应用的速度, 但并购存在整合风险, 需要政府与客户的协同 ; 借鉴国外巨头成长史, 国内有望通过外延并购实现崛起 考虑到国内外半导体设备的差距很大, 需要尽快追赶 ; 同时半导体设备的研发到商用的周期又比较长, 新产品一般要过 2 至 4 年才可以进入市场,5 至 6 年开始实现销售,8 至 9 年才可能达到收支平衡,10 年才可能达到盈利 ; 另外加速发展半导体设备是形成中国 IC 产业链的当务之急 所以在这些因素的推动下, 加上大基金的支持, 相较于创新发展, 模仿 ASML 以并购成长无疑是最好的选择 ; 看好半导体设备的国产化进程, 关注国内具有相关技术储备的厂商 七星电子 ( SZ) 是唯一在 A 股上市的集成电路制造设备生产商, 掌握半导体集成电路制造工艺中的薄膜制备技术, 在与北方微电子重组后平台价值值得期待 上海微电子装备有限公司是唯一一家研发 生产以及销售高端光刻机的企业, 目前, 张江高科 ( SH) 全资子公司张江浩成创业投资有限公司已以 2.23 亿人名币投资微装公司, 有望直接受益于光刻机产业的国产化进程 风险提示 : 半导体设备市场复苏不如预期 ; 半导体设备国产化进程不如预期

2 2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地 国内设备行业现状 : 产业落后至国产化率不升反降 长路漫漫, 但设备产业链正逐步走向成熟 政府政策扶持将使设备国产化率迅速提升 重新回到国际视野 :3D NAND 等存储器扩展有望托底全球半导体设备市场 他山之石 : 并购与创新驱动是半导体设备厂商两种清晰的发展模式 现有格局 : 规模超百亿, 三巨头瓜分市场 Applied Materials 综合领先的半导体设备商龙头 荷兰设备商 ASML 垄断中高端光刻机市场 Lam Research 四大业务板块成型, 积极并购打造更广平台 创新驱动与并购发展, 两种不同的成长模式分析 创新驱动成长的典型案例分析 应用材料 并购发展模式典型案例分析 ASML 借鉴国外巨头成长史, 国内有望通过外延并购实现崛起 国内厂商各有所长, 有望成为资产整合平台 北方微电子 半导体沉淀设备与刻蚀机双料龙头 中微半导体 专注于刻蚀机, 有望受益于 3D VNAND 上海微装 国内高端光刻机龙头 沈阳拓荆 CVD 龙头, 由引进消化国外技术到自主研发之路 相关推荐标的 七星电子 张江高科 附 1: 沉积设备 光刻机 刻蚀机是三大关键设备 附 2: 薄膜沉积 :IC 制造的重要工序 附 2.1 集成电路制造需经历多次薄膜沉积工序,PVD CVD 各有用途... 40

3 3 附 2.2:ALD 已成先进制程的主流沉积技术 附 3: 光刻机 :IC 产业皇冠上明珠, 摩尔定律关键推动者 附 3.1 光刻机是摩尔定律的关键推动者 附 3.2 EUV 是推动下一代先进制程的关键工艺 附 4: 刻蚀机 : 3D NAND 趋势将凸显刻蚀机重要性 附 5: 三大工艺原理 附 5.1 薄膜沉积设备的工作原理 附 5.2: 光刻机的工作原理 附 5.3: 刻蚀机的工作原理... 53

4 4 图目录 图 1 应用材料二季度新接订单持续创新高 图 2 应用材料新接订单区域分析 ( 单位 : 百万美元 ) 图 3 ASML 大陆光刻机销量维持高增长 ( 单位 : 台 ) 图 4 国际设备龙头股价年初至今涨幅明显 ( 单位 :%) 图 5 半导体产业向中国大陆转移 图 6 中芯国际资本支出不断提升 图 7 半导体行业产业链上下游产值 图 8 中国半导体设备国产化率偏低甚至下滑 图 9 FinFET 需要更多的薄膜和刻蚀设备 图 10 3DNAND 需要更多的薄膜和刻蚀设备 图 年全球半导体设备市占率 (%) 图 年全球半导体设备市占率 (%) 图 13 应用材料公司在半导体设备的布局广泛 图 14 应用材料历年营收情况 图 15 应用材料公司历年净利润与毛利率 图 16 应用材料的 OLYMPIA ALD 系统 图 17 应用材料的 Applied Producer Selectra 系统 图 18 TWINSCAN NXE 系列光刻机 图 19 PAS 5500 系列光刻机 图 20 TWINSCAN 系列浸润式光刻机的技术路线图 图 年全球光刻机市场份额 图 22 ASML 营收及毛利率情况 图 23 公司近年来营收情况 图 24 公司四大业务的产品布局 图 25 LAM Research 发展历程 图 26 应用材料历年研发支出 ( 亿美元 ) 图 27 应用材料历年专利数量 图 28 应用材料公司金属刻蚀系列与相应工艺技术 ( 从 0.5μm 到 0.35μm) 图 29 应用材料公司近几年在刻蚀机领域取得的技术突破... 24

5 5 图 30 AMAT 2015 年研发支出已经超过全球第 6 大半导体设备厂商全年收入 ( 单 位 : 百万美元 ) 图 31 全球光刻机厂商市场份额变化 图 32 ASML 发展历程先后经历了多次并购 图 33 ASML 近几年研发费用 ( 单位 : 百万欧元 ) 图 34 ASML 最新型浸润式机台 NXT:1980Di 图 35 国内半导体产业的天时地利人和多方利好 图 36 中国半导体设备国产化率偏低甚至下滑 图 37 北方微电子产品的四大应用领域 图 38 北方微电子的沉积设备发展历程 图 39 exitin H430 设备图 图 40 evictor A830 Al pad 设备图 图 41 设备的产销量 ( 单位 : 台 ) 图 42 设备的销售额 ( 单位 : 万元 ) 图 43 北方微电子自主研发的 NMC612 系列硅刻蚀机 图 44 北方微电子刻蚀机业务收入情况 图 45 北方微电子各大应用领域刻蚀机产销量 ( 单位 : 台 ) 图 46 中微半导体在客户生产线安装的等离子刻蚀机 图 47 中微半导体在客户生产线安装的硅通孔刻蚀机 图 年中微设备占中国泛半导体出口的比例 (%) 图 49 Primo SSC AD-RIE 刻蚀机 图 50 中微半导体产品进入市场的势头和高速的发展 图 51 中微半导体的产品发展路径 图 52 微装公司 600 系列光刻机 图 53 微装公司 500 系列光刻机 图 54 中国首台前道 ArF 光刻机交付客户 图 55 沈阳拓荆发展历程 图 英寸 PECVD 产品 图 57 8 英寸 PECVD 产品 图 58 七星电子历年营收情况 图 59 七星电子历年毛利率与净利率 图 60 交易完成后七星电子股权结构... 37

6 6 图 61 张江高科近三年营收情况 ( 单位 : 百万元 ) 图 62 张江高科近三年净利润及毛利率 图 63 全球半导体设备销售收入 图 64 半导体设备中国市场规模占比 图 65 半导体设备分类 图 66 半导体设备细分市场规模占比 图 67 晶圆制备流程图 图 68 晶圆的分层效果示意图 图 69 PVD 工艺原理示意图 图 70 CVD 工艺原理示意图 图 71 原子层沉积原理 ( 以 Al 2 O 3 薄膜为例 ) 图 72 经 ALD 沉积 Al 2 O 3 薄膜 DRAM 存储器具有更长的使用寿命 图 年来芯片集成度几何倍数提升 图 74 摩尔定律带动芯片成本大幅下降 图 75 光刻机解析度是决定芯片集成度的关键因素 图 76 光刻机价格持续上升 图 77 晶圆生产线中各半导体设备成本占比 图 78 EUV 技术将降低工艺复杂性和生产周期 图 79 EUV 技术将极大地提高先进制程芯片的产能 图 80 ASML 第四代 EUV 光刻机 NXE:3350B 图 81 刻蚀流程简略图 图 82 干法刻蚀装臵图 图 年干法刻蚀机销售总额占比 图 84 LAM Research 公司生产的反应离子刻蚀机 图 85 刻蚀工艺正朝着 ALE 发展 图 86 3D 存储技术拉动半导体设备行业对刻蚀机及沉积设备的投资热潮 图 87 台阶覆盖能力 图 88 深宽比间隙填充能力 图 89 PVD 工艺的分类 图 90 PVD 工艺的比较 图 91 CVD 工艺的分类 图 92 光刻技术的基本原理... 52

7 7 图 93 光刻工艺的 8 个基本步骤 图 94 光刻机总体结构 图 95 ASML 光刻机的简易原理图 图 96 刻蚀过程的三个步骤 图 97 化学刻蚀与物理刻蚀的刻蚀原理 图 98 反应离子刻蚀的原理... 54

8 8 表目录 表 1 半导体行业加速落户中国大陆 表 2 国内主要半导体设备厂商 表 3 全球前十大半导体设备供应商 表 4 Lam Research 收购同业公司 表 5 公司的刻蚀设备的分类应用 表 6 公司的刻蚀设备的分类应用 表 7 应用材料公司不断发展的薄膜沉积技术 表 8 近年国内半导体产业整合 表 年全球晶圆制造类设备市场规模 表 10 PVD 和 CVD 特征比较 表 11 光刻机发展路线图 表 12 沉积工艺需满足的薄膜特性 表 13 各项沉积技术对比 表 14 刻蚀工艺的性能参数... 54

9 9 投资要点 看好半导体设备未来 10 年发展, 国产厂商终将通过产业整合分享大蛋糕! 国际主流半导体设备大厂都经历了四十年以上的发展, 导致行业结构相对固化 但是由于半导体产业转移与国内政策资本的积极推动, 大陆的建厂潮驱动国内半导体资本支出中枢迅速提升, 国产设备厂商迎来了千载难逢的发展机会 我们仔细分析 AMAT 和 ASML 的发展历史, 结合国内半导体环境, 指出依托并购整合是国产设备厂商实现迅速崛起的最佳路径 晶圆制造过程被称为是一个点沙成金的过程, 一整片晶圆在经历了包括沉积 光刻 刻蚀 清洗等多个步骤的复杂制备流程后, 成为待封装的 IC 芯片 其中, 沉积设备 光刻机 刻蚀机均属于晶圆制造的关键设备 晶圆的制造需要经历沉积 - 刻蚀 - 沉积的反复过程, 以实现大型集成电路的分层结构 PVD 和 CVD 设备加起来在半导体制造设备成本中占比达到 25%, 对晶圆生产线的建造成本影响巨大 光刻机则是推动摩尔定律的关键设备 因为光刻技术决定着制程芯片的特征尺寸, 同时光刻技术是成本最高, 占用时间最长的工序之一 同样, 刻蚀机的精度也在决定着整个硅片的良率要求 如果刻蚀过程中出现失误, 将造成难以恢复的硅片报废 因此, 刻蚀机在晶圆生产线中的成本占比仅次于光刻机, 其发展也在一定程度上决定着摩尔定律能否继续向前发展 目前, 三大关键设备的国产化程度依然非常低, 但国家大基金对晶圆制造的大力投资将直接拉动对半导体设备的需求 同时中国大陆的 IC 制造在全球地位的提升, 产能转移的趋势明朗, 很可能带动国产设备销量将迎来绝对成长 支持我们投资建议的几项关键性因素 产业转移拉动半导体设备需求, 大基金主导国产化浪潮 中国大陆的 IC 制造在全球地位提升, 产能转移有望带动大陆半导体设备需求量绝对增长 另外根据规划, 大基金的 60% 额度将投资于晶圆制造领域, 薄膜沉积设备在半导体设备成本中占比高达 25%, 其国产化对于降低晶圆制造成本, 提高本土 IC 业竞争力具有重大意义, 必将引起政策重点倾斜, 迎来国产化浪潮 ; 半导体设备目前大部分市场被前十大厂商掌控, 但中国市场高速增长有望带来机遇 SEMI 的数据显示,2015 年全球半导体设备市场营收达 373 亿美元, 其中中国市场营收 48.8 亿美元, 占比 13.09%, 较 2014 年上升 1.43% 预计 2016 年中国设备市场营收为 53.2 亿美元, 增长 9.02%, 占比进一步提升至 14.07% 目前大部分市场被五大巨头垄断, 但未来随着国产设备的进口替代, 背靠庞大的大陆市场, 国产设备厂商仍具备很大的发展机会和成长空间 ; 技术赶超后发优势明显, 国内厂商已突破技术瓶颈, 步入高速发展期 国内技术相对落后为我们提供了学习追赶的机会, 通过吸引海外专家归国作为公司高管承担重大专项, 消化吸收国外的技术, 最终走上自主研发之路 目前北方微电子 中微半导体 沈阳拓荆等厂商已突破核心技术的瓶颈, 在国内生产线实现进口替代, 部分设备还获得了海外订单 未来随着技术的继续进步, 将有望消化庞大的大陆市场, 并逐渐走向海外 ; 看好半导体设备的国产化进程, 关注国内具有相关技术储备的厂商 七星电子 ( SZ) 是唯一在 A 股上市的集成电路制造设备生产商, 掌握半导体集成电路制造工艺中的薄膜制备技术, 在与北方微电子重组后平台价值值得期待 上海微电子装备有限公司是唯一一家研发 生产以及销售高端光刻机的企业, 目前, 张江高科 ( SH) 全资子公司张江浩成创业投资有限公司已以 2.23 亿人名币投资微装公司, 有望直接受益于光刻机产业的国产化进程 风险提示 : 半导体设备市场复苏不如预期 ; 半导体设备国产化进程不如预期

10 10 1. 为什么说半导体设备并不是主题投资机会? 尽管本轮建厂潮并未直接推动国产设备厂商业绩大涨, 反而拉动国际巨头大陆营收飙高, 但是我们认为半导体设备标的投资并不是主题投资机会 首先, 本轮建厂潮直接提升了大陆半导体厂商资本支出中枢, 考虑到半导体产业是个长期过程, 我们认为较长的一段时间内资本支出都不太可能显著下降 另一方面, 尽管与国际龙头存在较大差距, 但我们相信我国半导体厂商将会在国家力量的支持下通过产业整合等手段做大做强, 这也是日韩半导体产业崛起的秘诀! 最后,3D NAND 等新型存储对设备投资的拉动将会对全球设备市场形成强力托底 综合上述观点, 我们认为现在是半导体设备的最佳投资期 1.1 从国际设备龙头大陆新接订单与股价持续创历史新高说起 全球最大半导体及面板设备应用材料公司 8 月 19 号公布三季度 (5 月 1 日至 8 月 1 日 ) 财报, 净销售额达 亿美元, 同比增加 13.29%, 净利润为 5.05 亿美元, 同比增长 53.50% 三季度新接订单 亿美元, 环比增长 6%, 同比增长故 26%, 继二季度新接订单创 15 年新高后又创新高 受业绩带动, 预计 2016 财年的盈利也将实现历史高位 图 1 应用材料三季度新接订单持续创新高 资料来源 :Wind, 海通证券研究所 新接订单 ( 亿美元 ) 未出货订单 ( 亿美元 ) 仔细分析财报, 中国大陆新接订单增速最快成业绩增长主要原因 亚太地区新接订单 亿美元, 同比增长 21.93%, 其中中国大陆新接订单增幅最大,2 季度新接订单为 8.49 亿美元, 同比增加 92.08%, 中国大陆一跃成为公司最重要的市场

11 11 图 2 应用材料三季度新接订单区域分析 ( 单位 : 百万美元 ) 中国台湾中国大陆韩国日本东南亚美国欧洲 2016Q3 2015Q3 资料来源 : 应用材料三季度季报, 海通证券研究所 除了应用材料, 半导体设备龙头在中国大陆的业绩有望全线高增长 根据我们的产业研究跟踪, 以占据光刻机市场 70% 以上的龙头 ASML 为例, 在 2015 年实现中国大陆销量增速区间 58% 的基础上, 我们预计今年有望维持 40% 以上的高增长 图 3 ASML 大陆光刻机销量维持高增长 ( 单位 : 台 ) 资料来源 : 产业链调研, 海通证券研究所 与业绩增长相对应的, 是国际设备巨头股价自今年年初的爆发式增长 AMAT ASML 与 LAM Research 三大半导体设备龙头公司年初至 8 月 16 日分别 47.98% 26.18% 14.52%, 远超标普 500 同期 6.81% 的涨幅, 其中 AMAT 股价创历史新高,ASML 也逼近前期高点

12 12 图 4 国际设备龙头股价年初至今涨幅明显 ( 单位 :%) /1/1 2016/2/1 2016/3/1 2016/4/1 2016/5/1 2016/6/1 2016/7/1 2016/8/ AMAT.O ASML.O LRCX.O 纳斯达克指数 资料来源 :wind, 海通证券研究所 1.2 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 从全球范围来看, 半导体产业正在发生着第三次大转移, 即向中国大陆 东南亚等发展中国家 / 地区的转移 根据 IC insights 的数据, 在 2007 年, 中国大陆 IC 制造产值为 45.9 亿美元, 仅占全球的份额为 1.96%, 但到 2012 年, 大陆 IC 制造产值迅速上升到 89.1 亿美元, 全球份额也提升到 3.50% 预计至 2017 年, 大陆 IC 制造占全球的份额有望达到 7.73% 年间, 中国本地 IC 制造产值将以 16.5% 的平均复合增长率增长 图 5 半导体产业向中国大陆转移 资料来源 :CSIA, Gartner, 海通证券研究所整理 国内 IC 制造占比的提升是本轮建厂潮的直接反应 根据国际半导体协会 (SEMI) 的数据,2016 年 2017 年全球新建的晶圆厂至少将达到 19 座, 其中, 有 10 座位于我国 包括 2019 年达产的台积电南京 12 英寸晶圆厂, 规划月产能为 2 万片 12 英寸晶圆

13 13 表 1 半导体行业加速落户中国大陆 公司建厂 / 扩产地点投资总额产能 / 月备注 台积电 南京 30 亿美元 2 万片 12 寸晶圆 预计于 2018 年下半年开始生产 16 纳米制程 GlobalFoundries 重庆 / / 一个现有半导体工厂升级为 12 英寸晶圆制造厂, 预计将于 2017 年投产 武汉新芯 武汉 240 亿美元 30 万片 3D NAND 存储器 (2020 年 ) 由大基金领衔出资, 预计到到 2030 年建成每月 100 万片的产能 美国 AOS 重庆 10 亿美元 5 万片 12 英寸 MOSFET 功率半导体 预计明年下半年开始封装生产 厦门联电 厦门 62 亿美元 6000 片 12 寸晶圆 未来预计将启动第二座 12 寸厂的兴建计划, 整体联芯的产能达到每月 5 万片 香港德科码 南京 30 亿美元 8 寸晶圆厂以电源管理芯片 射频芯片生产为主, 4 万片 8 寸晶圆 ( 一期 ) 12 寸晶圆厂以自主开发的 CMOS 图像传感器芯片 6 万片 8 寸晶圆 2 万片 12 寸晶圆 ( 二期 ) 生产为主 力晶 合肥 亿元 4 万片 12 寸晶圆 / 英特尔 大连 55 亿美元 5 万片 NAND 闪存芯片 升级大连工厂, 转产为 非易失性存储器 制造, 计划于 2016 年底投产 士兰微 杭州 10 亿元 / 与 集成电路产业基金 共同投资建设 8 英寸芯片生产线 紫光集团 深圳 300 亿美元 3 万片 NAND Flash,1 万片 DRAM 预计 2018 年完工 2019 年量产 三安光电 厦门 亿元 2.5 万片 GaAs 和 5000 片 GaN GaAs 预计明年中量产,GaN 产线设备亦在逐步到位 华芯电子 迁安 32 亿元 1.5 万片 6 英寸砷化镓芯片 ( 一期 ) 二期将建设第二条年产 18 万片 6 英寸砷化镓芯片生产线, 配套建设一条年产 6 万片砷化镓外延片生产线 资料来源 :IC Insights, 集微网, 海通证券研究所 众多晶圆厂的落地显著提升我国大陆半导体资本支出中枢, 而设备投资额可达到一条新的晶圆生产线总投资额的 65%~75% SEMI 的数据显示,2015 年全球半导体设备市场营收达 373 亿美元, 其中中国市场营收 48.8 亿美元, 占比 13.09%, 较 2014 年上升 1.43% 预计 2016 年中国设备市场营收为 53.2 亿美元, 增长 9.02%, 占比进一步提升至 14.07% 可以预见, 中国市场是未来 10 年半导体设备市场增长最大的动力 图 6 中芯国际资本支出不断提升 30 图 7 半导体行业产业链上下游产值 E 中芯国际资本支出 ( 亿美元 ) 资料来源 :Semiconductor Intelligence, IC Insights, 海通证券研究所整理 资料来源 : 全球半导体产业协会, 海通证券研究所整理 1.3 半导体设备市场大蛋糕必将有中国厂商一席之地 国内设备行业现状 : 产业落后至国产化率不升反降 国内半导体产业在三个子产业群 IC 设计 芯片制造及封装测试 均取得了长足进步 但是, 制造及封装测试环节背后所必需的设备却是一个明显的短板 与其他环节不同, 我国的设备制造行业中高端市场几乎完全被国外企业垄断 而尽管单条晶圆产线会综合采用高 中 低端设备, 但是即使在中低端设备市场, 我国设备厂商仍然面临日立 佳能等日韩厂商的竞争 由于我国设备行业产业技术相对落后 ( 笔者学习期间使用国产光刻设备故障不断,

14 14 而如果发生在晶圆厂这就意味着整条产线的产品报废 ), 虽然国产设备的市场规模绝对额有所增加, 但是国产化率偏低, 国产化设备的产能还远不能满足市场需求 图 8 中国半导体设备国产化率偏低甚至下滑 10 20% % 10% 5% E 0% 中国自制半导体设备市场规模 ( 亿美元, 左轴 ) 半导体设备国产比例 (%, 右轴 ) 资料来源 :SEMI, 中国电子专用设备协会, 海通证券研究所 长路漫漫, 但设备产业链正逐步走向成熟 尽管与国外大厂有技术差距, 但国内的设备厂商正处于一个进步 崛起的阶段 据中芯国际,2006 年一条 8 寸晶圆生产线的设计费用占总投资额的 10%, 厂房设施占 15%, 其他部分 ( 设备 ) 则占 75%, 而当时这些设备几乎全部依赖进口 到 2016 年, 设备投资额约占总投资额的 65%, 虽然最重要 最值钱的设备还是依赖进口, 但整体设备的国产率达到了 10% 即, 一条总成本约 10 亿美金的 8 寸生产线, 设备成本占 6.5 亿美金, 而国产设备则可占据 6500 万美金 而在 12 寸生产线上, 设备国产率约 5%; 成熟一些的 90nm 生产线的设备国产率约 8% 目前经过中芯国际验证的国内设备企业大概有 10 多家 不仅仅是中芯国际, 我国半导体设备除了前道光刻基本都已进入晶圆大厂, 后道光刻上海微装进入长电科技, 薄膜淀积七星电子进入华力等 表 2 国内主要半导体设备厂商 设备曝光显影机台涂胶设备刻蚀机台表面处理机台热制程机台离子注入机薄膜沉积设备扫描量测机台 CMP 机台资料来源 :SEMI, 海通证券研究所 国内企业上海微装七星华创, 芯源中微, 北方微电子七星华创, 芯源,45 所, 盛美, 瑞择七星华创,48 所中科信,48 所北方微电子, 七星华创, 拓荆, 中科院沈阳科学仪器研制中心, 中微, 理想格兰达, 睿励, 泽尔尼, 中科院光电研究所, 华瑛盛美 政府政策扶持将使设备国产化率迅速提升 半导体发达国家 / 地区经验表明, 国家政策扶持至关重要 半导体产业是对信息安全 国民经济极其重要的战略性产业 同时, 作为尖端以及具有高附加值的产业, 半导体产业对其他相关产业的带动作用明显, 因此世界各国都极其重视发展半导体产业 美国 日本 韩国都在政策和立法方面都给予了半导体产业很大的支持以推动半导体产业

15 15 的发展 大基金重点投资晶圆制造, 设备行业直接受益 2014 年 6 月, 亿元的一期国家集成电路产业投资基金设立, 同时已经或正在建立的地方性集成电路投资基金总额已经接近 1400 亿元 尽管国家大基金直接在半导体装备领域的投资并不多, 但是根据大基金的规划,60% 额度将投资于晶圆制造领域, 晶圆制造的投资将直接拉动对半导体设备的需求 1.4 重新回到国际视野 :3D NAND 等存储器扩展有望托底全球半导体设备市场 随着行动装臵 物联网等装臵中越来越多采用 NAND 存储器, 且面对更轻薄 低功耗的需求,2D 应用的存储器将越来越难以满足要求, 业界转进 3D NAND 的脚步开始加速,NAND 堆叠的薄膜层数日益攀升 3D NAND 在制造过程中, 每叠一层就需要薄膜设备协助 ; 而要让每一层薄膜可顺利 沟通, 刻蚀技术必不可少 FinFET(3D 鳍式场效电晶体 ) 亦是 3D 堆叠的一种, 如同 3D NAND,FinFET 也需要薄膜和刻蚀 MultiPattern ( 多重图案 ) 的需求兴起一方面是因为 10nm 制程势必需要采用多重图案技术, 另一方面是因为 EUV 制程技术预计要到 7nm 才会上线,MultiPattern 还有较大的应用空间 MultiPattern 也将需要薄膜与蚀刻设备的协助 随着 NAND FinFET 与 Multi-Pattern 等产品与制程技术的进展, 对半导体设备供应商来说, 也意味着薄膜和蚀刻设备的需求将随之升温 预计在 2018 年 3D NAND 月产能将达到 100 万片的月产能, 比目前提高 85%, 且层数将从 36 层增加到 48 层, 带来 50-70% 的市场规模成长 且预计在 2018 年 FinFET 产能也有 50 万片 根据市调机构的研究数据,NAND FinFET 与 Multi-Pattern 未来将可创造 30 亿美元的市场规模, 带动新一波的设备投资热潮 图 9 FinFET 需要更多的薄膜和刻蚀设备 图 10 3DNAND 需要更多的薄膜和刻蚀设备 资料来源 :Lam Research, 海通证券研究所整理 资料来源 :Applied Materials, 海通证券研究所整理 2. 他山之石 : 并购与创新驱动是半导体设备厂商两种清晰的发展模式 2.1 现有格局 : 规模超百亿, 三巨头瓜分市场 2015 年全球半导体设备市场规模达 336 亿美元, 其中晶圆制造环节的设备规模约 288 亿美元 然而由于半导体设备技术壁垒高, 目前这百亿美元级别的市场份额基本被欧洲 美国和日本的半导体设备厂商占据 前十大设备厂商的市场份额已接近 80%

16 16 表 3 全球前十大半导体设备供应商 2015 年排名 2014 年排名 设备商 2015 年销售额 ( 百万美元 ) 同比增长 (%) 2015 年市占率 1 1 Applied Material 6, Lam Research 4, ASML 4, , KLA-Tencor 2, Screen Semiconductor Solutions Hitachi High-Technologies Nikon Hitachi Kokusai ASM International 其他 7, 合计 33, 资料来源 :Gartner, 海通证券研究所 对比 2009 年的市场格局, 全球半导体设备行业集中度提升得非常明显, 竞争格局在并购潮兴起以及技术创新的驱动下也发生了较大的变化 相信未来在产业转移及中国政府的政策带动下, 国内企业有望打破垄断, 造就新的行业格局 图 年全球半导体设备市占率 (%) 图 年全球半导体设备市占率 (%) 15% 10% Applied Material Applied Material Lam Research 38% 2% 3% 3% 4% 9% 6% 5% 5% Tokyo Electron ASML KLA-Tencor Lam Research Nikon Dainippon Screen ASM International Novellus Systems Teradyne Others 2% 2% 2% 2% 3% 24% 6% 13% 19% 14% 14% ASML Tokyo Electron KLA-Tencor Screen Semiconductor Solutions Hitachi High-Technologies Nikon Hitachi Kokusai ASM International Others 资料来源 :Gartner, 海通证券研究所整理 资料来源 :Gartner, 海通证券研究所整理 而 AMAT, Lam Research 和 ASML 是国际半导体三大龙头厂商, 合计市占率达到 47.5% 除了 ASML 专攻光刻机外,AMAT 与 Lam Research 都是半导体设备综合厂商 Applied Materials 综合领先的半导体设备商龙头 Applied Materials( 以下称为应用材料公司 ) 成立于 1967 年, 目前已经连续十多年稳居全球半导体设备供应商龙头位臵, 其产品包括 : 化学气相沉积 (CVD) 设备, 半导体薄片装配, 蚀刻及离子植入设备等 尽管应用材料在半导体设备的诸多领域都有布局, 但各生产线的产品均有强劲的市场竞争力 根据 Gartner 的数据显示, 应用材料公司在薄膜沉积设备 (CVD PVD) 刻蚀设备 离子注入机 高温炉四个领域占据 40%-70% 的份额, 其中公司子公司 AKT 是全球等离子体化学气相沉积设备的领头羊 全球知名的半导体企业均采用应用材料的设备和服务生产集成电路产品, 目前公司最大的客户为三星和台积电

17 17 图 13 应用材料公司在半导体设备的布局广泛 资料来源 : 应用材料官网, 海通证券研究所整理 年, 公司营收稳健增长, 毛利率保持在 40% 以上 2016 年中报公司营收为 亿美元, 毛利率为 %, 保持稳定 得益于公司在半导体设备的诸多领域具有扎实的技术积累, 产品契合市场需求, 竞争力强劲, 所以公司预计 2016 年营收为 亿美元, 净利润可达 亿美元, 在营收与净利润方面都有望出现稳健增长 图 14 应用材料历年营收情况 图 15 应用材料公司历年净利润与毛利率 % 20% 15% 10% 5% % 45% 40% 60 0% 10 35% 40-5% -10% % 20-15% -20% % % % 营业收入 ( 亿美元, 左轴 ) 增速 (%, 右轴 ) 归属母公司股东的净利润 ( 亿美元, 左轴 ) 毛利率 (%, 右轴 ) 资料来源 :wind, 海通证券研究所整理 资料来源 :wind, 海通证券研究所整理 在薄膜沉积领域, 应用材料的 OLYMPIA ALD 系统已经开始为 3D NAND 芯片厂商提供最为先进的原子层沉积技术

18 18 图 16 应用材料的 OLYMPIA ALD 系统 资料来源 : 公司官网, 海通证券研究所 在刻蚀领域, 应用材料也推出业内首款极致选择性蚀刻工具 Applied Producer Selectra 系统, 通过引入全新的材料工程能力, 助力 3D 逻辑芯片和存储芯片的尺寸持续缩小 图 17 应用材料的 Applied Producer Selectra 系统 资料来源 : 公司官网, 海通证券研究所 此外, 其 PROVision 系统是唯一能精确到 1 纳米分辨率的电子束检测工具, 对于小于等于 10 纳米的多次图型光刻 鳍式场效应晶体管 (FinFET) 制造 DRAM 以及 3D NAND 器件的研发 进入量产和生产工艺控制尤为关键 综上所述, 应用材料在多领域都掌握着前沿的技术, 是全方位领先的龙头企业 荷兰设备商 ASML 垄断中高端光刻机市场 ASML 是荷兰一家专注于光刻机研发和制造的设备商, 目前公司旗下有 TWINSCAN

19 19 NXE/NXT/XT 系列 YieldStar 系列 PAS5500 系列的光刻机产品 图 18 TWINSCAN NXE 系列光刻机 图 19 PAS 5500 系列光刻机 资料来源 : 公司官网, 海通证券研究所 资料来源 : 公司年报, 海通证券研究所 其中,TWINSCAN 系列是目前世界上精度最高 生产效率最高 应用最为广泛的高端光刻机型, 全球绝大多数半导体生产厂商如英特尔, 三星, 海力士, 台积电, 联电, 格罗方德等都向 ASML 采购该机型 TWINSCAN 系列中的 NXT 1980Di 机型已能在 300mm 晶圆上实现 10nm 级别的光刻 ;NXE 则是行业内第一个极紫外线 (EUV) 光刻机系列 未来 ASML 还将朝着更先进制程的技术路线发展 图 20 TWINSCAN 系列浸润式光刻机的技术路线图 资料来源 :ASML 官网, 海通证券研究所 2015 年全球前道光刻机市场销售额达 61 亿美元, 其中 ASML 一枝独秀, 占据了 70% 的市场份额, 将竞争对手 Nikon,Canon 等远远甩在身后 在最高端的浸润式光刻机细分市场上,ASML 更是占据近 90 % 的市场份额 ASML 毋庸臵疑是全球光刻机行业的龙头企业 年, 公司营收稳健增长, 毛利率保持在 40% 以上 2016 年第一 二季度, 公司营收净额分别为 13.3 亿 17.4 亿欧元, 毛利率均为 42.6 %, 保持稳定 第二季度的订单更是创下最高纪录, 主要贡献来自于逻辑晶片客户的订单, 为其 10nm 量产做准备 ; 同时记忆体客户需求稳健增长, 持续投资 DRAM 生产和 3D NAND 产能扩张 公司预计第三季度营收净额为 17 亿欧元, 毛利率为 47%, 同时预估 2016 年营收将再创新高

20 20 图 年全球光刻机市场份额 图 22 ASML 营收及毛利率情况 30% 70% ASML 其他 资料来源 :Gartner, 海通证券研究所 资料来源 : 公司年报, 海通证券研究所 Lam Research 四大业务板块成型, 积极并购打造更广平台 Lam Research( 以下简称 Lam) 成立于 1980 年, 主要从事半导体生产设备开发和制造 公司业务持续多元化拓展, 目前形成了薄膜沉积 等离子刻蚀 光阻去除 晶片清洗四大市场领先业务 在过去三年,Lam 成为全球半导体设备制造行业中增长最快的公司, 年复合增长率 20% 2015 年,Lam 在半导体设备市场上市占率为第二 ( 达 14.3%), 其主要客户包括三星 台积电等 此外, 公司总裁兼首席执行官 Martin Anstice 非常重视中国市场, 认为 中国制造 2025 等战略规划, 对半导体产业未来的发展非常有吸引力, 并表示 Lam 未来的发展重心将在中国 图 23 公司近年来营收情况 图 24 公司四大业务的产品布局 6, % 5,000 4,000 3,000 2,000 1, % 100% 50% 0% 营收 ( 百万美元, 左轴 ) 增长率 (%, 右轴 ) -50% 资料来源 :wind, 海通证券研究所整理 资料来源 :Lam Research 官网, 海通证券研究所整理 积极通过并购加速技术创新并打造更广的业务平台 合作 一直是 Lam 的核心文化之一 公司先后收购了半导体设备行业的两家知名企业 Novellus Systems 和 KLA Tencor( 还未收购完成 ) 业界认为 Lam 的收购符合未来半导体从业者必须采用多重图案 3D 制程 系统级封装以及新一代记忆体等技术的趋势

21 21 表 4 Lam Research 收购同业公司 时间被收购方收购影响 1997 年 OnTrak Systems, Inc 年 12 月 Novellus Systems 2015 年 11 月美国半导体设备厂 KLA-Tencor 资料来源 : 百度文库, 海通证券研究所整理 薄膜沉积已形成多个产品家族, 并转型原子沉积技术 Lam 将 Ontrak 的 CMP 清洁系统引入自己的生产线, 增强自身在刻蚀 CVD FDP 等方面的业务布局 Novellus 的特长是薄膜生长,Lam 以其薄膜刻蚀和清洗设备而闻名,Lam 做 减法 的一些窍门对 Novellus 做 加法 可能大有益处, 反之亦然, 这有助于客户和供应商一起更有效地解决生产工艺问题 并购有利于结合 Lam 在沉积 刻蚀和清洗方面的卓越能力, 以及 KLA 在检测和测量方面的领先优势 在沉积技术上,Lam 为金属掩膜 硬掩模 介质掩膜等不同掩膜需求提供多种技术方案, 形成了多个产品家族 表 5 公司的刻蚀设备的分类应用 功能分类产品家族采用的技术 LAM 的主要优点解决方案无空隙填充, 优越的缺陷密度性能 SABRE ECD 铜互连 先进存储器 先进封装更快的电镀速率, 降低使用成本金属掩膜钨薄膜沉积生产力的行业标杆, 晶体管 连接导线 先进存储器 封 ALTUS CVD ALD 多平台串联沉积 (MSSD) 架构实现原位 CVD 填充装 介质掩膜 TSV 刻蚀 VECTOR SPEED VECTOR 资料来源 : 公司官网, 海通证券研究所 PECVD ALD HDP-CVD PECVD ALD 同类产品中最佳生产力和低成本, 高品质介质层满足 TSV 结构的应用 最佳晶圆内厚度和填充的均匀度, 出色的颗粒控制和极高的产出多站串联式沉积 (MSSD) 架构, 独特的腔室设计元素和模块化架构 晶体管 连接导线 封装 先进存储器 晶体管 连接导线 先进存储器 晶体管 连接导线 图形曝光 目前 Lam 的沉积技术正在向原子级技术转型, 其在 2014 年推出 VECTOR ALD Oxide 薄膜沉积系统, 该系统能在低温条件下利用原子层沉积 (ALD) 形成高保形介电薄膜, 这种薄膜被用来在一系列多次曝光中确定关键图案尺寸 该系统还在硬件上支持气体快速开关, 与竞争系统相比具备生产率优势 历经三十余载发展, 刻蚀技术积累深厚 公司从 1981 年首次推出自动刻蚀机到如今推出多种刻蚀机解决方案, 技术已有三十多年的积累, 公司主要的技术更迭如下图所示 图 25 LAM Research 发展历程

22 22 资料来源 : 公司官网, 海通证券研究所整理 目前公司在等离子刻蚀技术与市场份额上处于领先地位 根据不同的功能类型, 公司创新性的刻蚀技术和解决方案涵盖了晶体管 连接导线 成像 先进存储器和先进封装工艺, 能为先进芯片生产提供了广泛的晶圆制程能力 表 6 公司的刻蚀设备的分类应用 功能分类功能定义产品类型采用的技术 LAM 的主要优点解决方案 KIYO 产品优异的均匀性和重复性 ; 提高生产力, 晶体管 连接导线 反应离子刻蚀导电材质蚀刻用于半导体器件中活家族降低缺陷率成像 先进存储器导体刻蚀性材料的成形 VERSYS 金反应离子刻蚀实现优越的线宽 ; 高使用率连接导线属产品家族 介质刻蚀 TSV 刻蚀 介质蚀刻是在绝缘材料中对图形进行蚀刻, 在半导体器件的导电部件之间形成阻挡层 直通硅晶穿孔技术 (TSV) 为 3D 集成电路 (3D IC) 提供用于芯片间堆叠的导线连接, 以实现更小 更快和更强大移动电子产品的生产 资料来源 : 公司官网, 海通证券研究所 FLEX 产品家族 SYNDION 产品家族 反应离子刻蚀 反应离子刻蚀 均匀可重复性 ; 提高生产率 降低缺陷率 ; 低风险, 投资回报率最大化 高工艺灵活性 均匀性 ;TSV 高刻蚀率, 可重复性 ; 支持单步骤刻蚀和快速切换工艺 2.2 创新驱动与并购发展, 两种不同的成长模式分析 晶体管 连接导线 成像 先进存储器 通过对半导体龙头厂商发展历史的分析, 我们发现创新驱动与并购是两种半导体设备厂商的主要发展模式 创新驱动需要长期的技术积累与研发资金支持, 而并购有效降低了研发成本及风险, 加速了新技术集成 应用的速度, 但并购存在整合风险, 需要政府与客户的协同 创新驱动成长的典型案例分析 应用材料 2015 年, 应用材料的研发费用高达 14.5 亿美元, 占 2015 年营收 14.36%, 专利多达 1341 项, 是半导体设备行业中以创新驱动发展的典型龙头企业 作为全球销售额最高的半导体设备商龙头, 应用材料虽然没有涉足光刻机业务, 但在其他关键设备, 如薄膜沉积设备 刻蚀机等领域均投入了大量的研发力量, 相继突破了诸多技术难题, 推出了一系列出类拔萃的产品 封装 图 26 应用材料历年研发支出 ( 亿美元 ) 图 27 应用材料历年专利数量 ( 单位 : 项 ) 资料来源 :wind, 海通证券研究所整理 资料来源 : 公司官网, 海通证券研究所整理 早期的应用材料曾因产品线过于宽泛而濒临破产, 但在时任 CEO 摩根进行业务精简并将核心业务转移至半导体设备后, 应用材料便乘着 80 年代半导体产业蓬勃发展的东风, 一跃成为业界龙头 在半导体工艺制程还停留在 500nm 的 90 年代, 应用材料的金属刻蚀设备便在市场站稳了脚跟 此后, 应用材料的刻蚀设备便与工艺技术同步成长 半导体制程从 500nm 发展到 350nm 的过程中, 应用材料公司的金属刻蚀设备也经历了 PE8330 P5000-Mark Ⅱ/MXP 到 Centura DPS 的三代更迭

23 23 图 28 应用材料公司金属刻蚀系列与相应工艺技术 ( 从 0.5μm 到 0.35μm) 资料来源 : 微电子技术, 海通证券研究所 通过扎实的技术根基与持续的研发投入, 应用材料的薄膜沉积设备已经可以实现接近原子级的精度和控制并形成 沉积 塑形和度量薄膜 表 7 应用材料公司不断发展的薄膜沉积技术 时间沉积技术描述 2010 年 8 月 2011 年 3 月 Applied Producer Eterna FCVD( 流体化学气相沉积 ) Applied AKT-20K PX 电浆强化化学气相沉积 (PECVD) 系统 首创的也是唯一的以高质量介电薄膜隔离 20 纳米及以下存储器和逻辑器件中的高密度晶体管的薄膜沉积技术 可用于制造主动矩阵式有机发光二极体 (AMOLED ) 及平面显示显示屏 2011 年 7 月 Centura 原子沉积技术 (ALD) 一次可只沉积一个原子, 带来了前所未有的精度 2014 年 5 月 Endura Ventura PVD 系统能够完成连续薄的阻挡层和种子层的硅通孔 (TSV) 沉积 2015 年 5 月 2015 年 7 月 Applied Endura Cirrus HTX 物理气相沉积 (PVD) 系统 Olympia 原子层沉积 (atomic layer deposition, ALD) 系统 资料来源 : 应用材料官网, 海通证券研究所整理 采用突破性硬掩模技术, 可支持 10 纳米及更小的铜互连图形生成 为先进 3D 内存和逻辑芯片制造商带来了高性能 ALD 技术 在刻蚀领域, 应用材料的创新步伐也从未间断 公司最新推出的极致选择性蚀刻工具 Applied Producer Selectra 系统可以在一个多层结构芯片中有选择性地清除某一特定材料而不破坏其他材料, 有效突破了刻蚀工艺的关键技术壁垒

24 24 图 29 应用材料公司近几年在刻蚀机领域取得的技术突破 发布 Centura Advant Edge 系统, 该系统采用了最先进的硅片刻蚀技术, 能够将 300mm 晶圆的误差控制在 3nm 之内 宣布推出全新的 Centris Advant Edge Mesa 刻蚀系统, 主要针对 45nm 以下存储和逻辑芯片市场 推出高效 Centris Sym3 Etch 系统, 实现 3D 领域原子级别精准制造 ; 宣告 Centura Tetra Z Photomask Etch 系统可在 10nm 级别延伸多重曝光 取得蚀刻技术的新突破, 推出业内首款极致选择性蚀刻工具 Applied Producer Select ra 系统 2005 年 2010 年 2015 年 2016 年 资料来源 : 公司官网, 海通证券研究所整理 可以看出, 应用材料在半导体设备领域发力较早, 其刻蚀设备 沉积设备基本都经历了工艺技术的整个发展周期, 因此无论在技术积累和经验认识上, 应用材料都具有竞争对手不可比拟的优势 而巨额的研发支出也在不断巩固应用材料的领先地位 2015 财年 14.5 亿美元的研发费用, 按照设备收入占比大致推算半导体设备研发费用为 9.64 亿美元 (14.5 亿美元 *66.5%), 已经超过了绝大多数半导体设备公司的全年设备业务销售额 这种创新驱动发展模式, 不仅需要持续的资金投入和漫长的技术积累, 也需要产业早期蓬勃发展所带来的机遇 对于新入者而言, 想要依照创新模式取得成功, 难度相当大 图 30 AMAT 2015 年设备研发支出 ( 折算 ) 已超全球第 7 大半导体设备厂商全年设备收入 ( 单位 : 百万美元 ) 7,000 6,000 5,000 4,000 3,000 2,000 1,000 0 资料来源 :The Information Network, 海通证券研究所 并购发展模式典型案例分析 ASML 2015 年,ASML 在全球光刻机市场中占有率达 70%, 是光刻机设备商中当之无愧的巨人 但早在 2001 年时, 全球的光刻机市场还是三足鼎立格局 彼时日本的 Nikon 和 Canon 曾分别占据 41.6 % 和 34.8 % 的市场份额,ASML 仅占 22.4 % 但到 2011 年, ASML 的市场份额已上升至 57.1 %,Nikon 和 Canon 则分别下滑至 27.8 % 和 15.2 % 此后几年,ASML 一鼓作气, 将对手越甩越远 目前 Canon 已基本放弃光刻机市场,

25 25 Nikon 的光刻机能做到 20nm 左右, 而 ASML 的 EVU 光刻机量产型号已经做到 10nm 水平 我们认为,ASML 的强势崛起值得深入分析及思考, 对国内设备厂商的发展也有借鉴意义 图 31 全球光刻机厂商市场份额变化 资料来源 :The Information Network, 海通证券研究所 ASML 在初创之时采用了模块化分工外包协同的组织模式, 除核心部件自制外, 其余部件采取模块化公开采购 这一模式降低了产业周期性起伏风险, 帮助其顺利渡过了 1986 年和 1992 年的两次世界半导体市场大危机 1995 年, ASML 在阿姆斯特丹和 NASDQ 上市后获得了充裕的资金, 除增强自身的研发能力外, 也具备产业并购能力 1999 年,ASML 并购 MaskTools, 增强了在先进技术节点方面提供解决方案的能力, 改善了 ASML 机器扫描和成像能力, 显著增加了聚焦深度, 扩大了光刻窗口, 提高了芯片产量 2001 年,ASML 全股并购竞争对手 Silicon Valley Group, 该公司的投影掩罩瞄准技术 扫描技术对 ASML 生产的光刻机具有重要作用 2012 年,ASML 花 亿欧元全资收购美国 Cymer, 该公司的扫描仪极紫外光源技术在 ASML 量产极紫外光刻机时, 起决定性作用 2016 年,ASML 宣布收购台湾最大半导体设备厂商汉微科以强化其全方位微影技术解决方案, 包括微影曝光系统 运算微影及量测, 并进一步介入 10nm 以下制程和 3D 整合制程 图 32 ASML 发展历程先后经历了多次并购

26 26 资料来源 : 维基百科, 海通证券研究所整理 为了进一步提高研发实力并构建稳定的核心利益群体,ASML 还于 2012 年提出客户联合投资专案 目前, 三大客户英特尔 台积电 三星均已通过参股及注资等方式, 支持 ASML 的研发计划, 并合作研发新技术 此外 ASML 的研发还引入了政府的支持 早在上世纪 80 年代,ASML 就通过主持或参与数个合作研发项目, 掌握了当时的突破性产品 PAS 5000 的核心技术 年,ASML 的研发项目从欧盟 荷兰 美国政府机构获得的资助金额分别为 2950 万欧元 2510 万欧元和 1790 万欧元 图 33 ASML 近几年研发费用 ( 单位 : 百万欧元 ) 图 34 ASML 最新型浸润式机台 NXT:1980Di 资料来源 : ASML 年报, 海通证券研究所整理 资料来源 :ASML 官网, 海通证券研究所整理 现在,ASML 正在强者愈强的道路上越走越远 回顾其成长史, 我们发现 ASML 的并购发展模式有效降低了其研发成本及风险, 加速了新技术集成 应用的速度 ; 而客户投资计划则将 ASML 与客户 政府等群体紧密联系在一起 可以说, 并购发展和客户支持成为了 ASML 成为行业巨人的重要推动力 3. 借鉴国外巨头成长史, 国内有望通过外延并购实现崛起 面对广阔的国内半导体设备市场, 国内半导体厂商具有史无前例的历史性机遇 无论是从大陆到台湾的产业链转移趋势, 还是国家成立投资基金促进资本助力产业发展, 还是国内外厂商纷纷在大陆建厂, 都表明国内半导体产业将会面临大发展, 这必然带来其上游领域设备厂商的投资机会 而 AMAT 与 ASML 中国大陆业绩高速增长都表明了中国半导体设备市场确实具有潜力

27 27 图 35 国内半导体产业的天时地利人和多方利好 天时 半导体产业向中国大陆转移 地利 国内外厂商在中国纷纷建厂 人和 国家成立投资基金来直接加快产业发展 资料来源 : 海通证券研究所整理 但是另一方面, 强者恒强的产业格局下我国半导体设备国产化发展实属不易 半导体设备研发周期长, 投资额大且风险高 越先进的制程工艺设备造价越高 即使研发成功也较难打入国际大厂的供应链 现阶段在技术水平上, 世界集成电路设备研发水平处于 12 英寸 10 纳米以下技术代, 生产水平处于 12 英寸 14 纳米技术代 ; 中国设备厂商的研发水平处于 12 英寸 14 纳米阶段, 生产水平处于 12 英寸 28 纳米阶段, 仍存在至少 3 到 5 年的差距 更何况进入国际主流产线亦需要一定时间 以中国半导体设备厂商中的佼佼者北方微电子为例 北方微电子从其启动沉积设备的研究到首次切入台湾 LED 产线, 前后经历 5 年时间 因此, 我国半导体设备的国产化率在近年出现了持续下滑的趋势 图 36 中国半导体设备国产化率偏低甚至下滑 10 20% % 10% 5% E 0% 中国自制半导体设备市场规模 ( 亿美元, 左轴 ) 半导体设备国产比例 (%, 右轴 ) 资料来源 :SEMI, 中国电子专用设备协会, 海通证券研究所 综上, 考虑到国内外半导体设备的差距很大, 需要尽快追赶 ; 同时半导体设备的研发到商用的周期又比较长, 新产品一般要过 2 至 4 年才可以进入市场,5 至 6 年开始实

28 28 现销售,8 至 9 年才可能达到收支平衡,10 年才可能达到盈利 ; 另外加速发展半导体设备是形成中国 IC 产业链的当务之急 所以在这些因素的推动下, 加上大基金的支持, 相较于创新发展, 模仿 ASML 等国际集成电路设备巨头的成长路径, 以并购成长无疑是最好的选择, 因为并购确实可以实现设备厂商核心竞争力的迅速提高, 并在与下游龙头的合作中越做越强, 实现技术带来资本, 资本进一步驱动技术的良好发展态势 通过观察近年来国内半导体企业轮番并购重组, 产业整合已经成为当前中国集成电路产业崛起 产业链协调发展的必然趋势 表 8 近年国内半导体产业整合 时间收购方被收购方金额 ( 亿 RMB ) 2015/1/2 Focaltech 旭曜 /9 晶元光电台积固态照明 96% 1.6 1/14 长电科技星科金鹏 /31 金沙江创业投资基金 Lumileds 80.1 % 190 5/2 华创投资 中信资本豪威科技 ( 美芯片制造商 ) /8 艾派克 SCC /20 顺风国际晶能光电 59% /26 紫光新华三 51% /28 建广资本 NXP 的 RF Power 部门 /12 谱瑞 Cypress 触控业务 /30 武岳峰资本 ISSI( 芯成集成电路 ) /24 同方国芯华芯集成电路 51% /19 开发晶普瑞光电 /9 电子信息产业集团德国欧司朗 /28 华灿光电蓝晶科技 /30 紫光西部数据 15% 的股份 /16 通富微电 AMD 旗下两家子公司 85% /12/11 紫光 力成 25% 38 南茂 25% /1 耐威科技 Silex 7.5 3/11 三安光电环宇公司 /21 上海硅产业投资 Soitec 14.5% 还未公布 4/21 上海硅产业投资 Okmetic 还未公布 5/23 福建宏芯投资爱思强 /14 建广资产 NXP 的标准产品芯片业务 181 7/20 华灿光电美新半导体还未公布 7/25 北京君正豪威科技还未公布 资料来源 :wind, 海通证券研究所整理 4. 国内厂商各有所长, 有望成为资产整合平台 半导体设备虽然技术门槛比较高, 但可喜的是当前国内的设备企业成长比较快, 有助于完善我国的 IC 产业链 以 8 寸晶圆生产为例,2006 年时设备几乎全部依赖进口 ; 而到 2016 年, 虽然最重要 最值钱的设备还是依赖进口, 但整体设备国产率在 10% 左右 ;12 寸晶圆生产线上, 设备国产率约 5% 目前国内已经有 10 多设备商通过了中芯国际的验证, 国产设备的进步和崛起对我国本土的 IC 厂家非常有利 由于我国半导体设备市场处于发展早期, 因此国产设备厂商大多是专攻某一种或两种设备研发 随着产业整合力度的推进, 这些国产半导体设备厂商有望成为并购平台 4.1 北方微电子 半导体沉淀设备与刻蚀机双料龙头 北方微电子是半导体淀积设备及刻蚀机领域的龙头企业, 所开发的物理气相沉积设

29 29 备 (PVD) 化学气相沉积设备 (CVD) 刻蚀设备 (ETCH) 等核心产品已广泛应用于中芯国际 三安光电 晶方科技等集成电路芯片厂商 在集成电路制造领域, 北方微电子是唯一一家有能力为客户提供 PVD 前道硅刻蚀机的国内供应商, 技术水平完全是国内领先地位 图 37 北方微电子产品的四大应用领域 栅极 / 浅槽隔离刻蚀 氮化钛掩膜刻蚀 深硅刻蚀 掩膜 PVD 铜互连 PVD 铝衬垫 PVD 集成电路 先进封装 硅通孔封装 PVD 晶圆级封装 PVD 凸点下 / 再分布层 PVD 硅通孔刻蚀 蓝宝石衬底刻蚀 氮化镓刻蚀 透明导电膜溅射设备 AIN 缓冲层溅射设备 保护层 PECVD 半导体照明 微机电系统功率器件 深硅刻蚀 介质 /Ⅲ-Ⅴ 材料刻蚀 通用金属 PVD 保护层 PECVD 薄膜 CVD 资料来源 : 七星电子发行股份购买资产报告书, 海通证券研究所整理 PVD 设备, 从国内顶尖生产线打入海外大厂 北方微电子是国内 PVD 设备的代表厂商, 产品广泛应用于中芯国际 三安光电 晶方科技等集成电路芯片厂商, 技术水平完全是国内领先地位 早在 2015 年 2 月, 其自主研发的 exitin H430 金属硬掩膜 PVD 设备就正式被中芯国际指定为 28nm 制程的 Baseline 机台 成为 Baseline 设备不仅代表着技术已经完全可以满足先进半导体生产的要求, 也意味着未来在生产线扩充产能使可能优先选择该公司的设备 2016 年 4 月, 北方微电子自主研发的用于集成电路 Al Pad 工艺的 evictor A830 设备再次成功获得武汉新芯订单 不仅如此,exTin H430 设备和 evictor A830 设备还收到海外主流 IC 厂订单, 正式进入国际顶尖 IC 大产线

30 30 图 38 北方微电子的沉积设备发展历程 北京北方微电子基地设备工艺研究中心有限责任公司注册成立 承接国家 十一五 重大专项 65/45nmPVD 设备研发 项目 十二五 重大专项 45-22nm 铜互连 PVD 设备研发及产业化 项目通过立项评审 首台硅外延 CVD 进入生产线融资 2.7 亿元人民币 LED AlN 首次进入台湾主流 LED 外延和芯片生产企业 ; 北方微电子 12 英寸 PVD 成为中国集成电路芯片制造企业 28nm 生产线 Baseline 机台 ; 2001 年 2008 年 2009 年 2010 年 2011 年 2012 年 2013 年 2014 年 2015 年 启动晶硅太阳能平板式 PECVD 设备研发项目 启动半导体照明 MOCVD 设备研发项目 首台先进封装 TSV PVD 进入生产线 ; 首台晶圆级封装 PVD 进入生产线 ; 十一五 重大专项 90/65nm PVD 研发 项目通过项目验收 ; 承接国家 十二五 重大专项 45-22nm 铜互连 PVD 设备研发及产业化 项目 ; 应用于集成电路 28nm 技术代的 Hardmask PVD 实现销售 资料来源 : 北方微电子公司官网, 海通证券研究所整理 图 39 exitin H430 设备图 图 40 evictor A830 Al pad 设备图 资料来源 : 北方微电子官网, 海通证券研究所 资料来源 : 北方微电子官网, 海通证券研究所 公司的 PVD 和 CVD 设备销量和销售额均高速增长,2015 年前 11 个月设备销量分别为 15 台和 28 台 CVD 设备销量增长速度惊人,2015 年前 11 个月就达到了 2014 年的 4 倍销量 设备的产销比例变化较大, 但始终维持在 50% 以上 2015 年前 11 个月,PVD 设备的销售额相对于 2014 年增长了约 1/3,CVD 设备增长了 1 倍以上

31 31 图 41 设备的产销量 ( 单位 : 台 ) 图 42 设备的销售额 ( 单位 : 万元 ) 年 1-11 月 年 1-11 月 PVD 销量 CVD 销量 PVD 产量 CVD 产量 PVD 销售额 CVD 销售额 资料来源 : 七星电子发行股份购买资产报告书, 海通证券研究所 资料来源 : 七星电子发行股份购买资产报告书, 海通证券研究所 刻蚀机, 追赶国际主流技术水平 北方微电子是国内刻蚀机的代表厂商, 产品广泛应用于中芯国际 三安光电 晶方科技等集成电路芯片厂商, 技术水平完全是国内领先地位 2015 年, 北方微电子自主研发的 NMC612 系列硅刻蚀机成为中国主流集成电路代工厂浅沟槽刻蚀的 Baseline 机台, 可满足 12 英寸生产线 纳米的多种硅刻蚀工艺需求, 具备了追赶国际主流技术水平的积累 图 43 北方微电子自主研发的 NMC612 系列硅刻蚀机 资料来源 : 北方微电子官网, 海通证券研究所整理 目前, 北方微电子开发的 12 英寸硅刻蚀机在北京中芯国际 55nm 产品线已稳定运行 ;28nm 刻蚀机分别落户中芯国际和上海华力微电子 ; 在研的 14nm 刻蚀机也已完成了工程样机整体设计, 并将进入大生产线测试 此外, 公司在先进封装 LED 照明以及 MEMS 等应用领域均有刻蚀工艺布局 2015 年 1-11 月, 公司各大应用领域的刻蚀机销售总量达到了 48 台, 较往年有了巨大的提升 预计在国内晶圆厂建厂热潮的带动下, 未来几年销量有望持续突破

32 32 图 44 北方微电子刻蚀机业务收入情况 % 14% 12% 10% 8% 6% 4% 2% 图 45 北方微电子各大应用领域刻蚀机产销量 ( 单位 : 台 ) (1-11 月 ) 0% (1-11 月 ) 刻蚀机业务收入 ( 万元, 左轴 ) 增速 (%, 右轴 ) 产量 销量 资料来源 : 七星电子发行股份购买资产报告书, 海通证券研究所整理 资料来源 : 七星电子发行股份购买资产报告书, 海通证券研究所整理 七星电子收购北方微电子, 有助于发挥协同作用 今年 1 月, 唯一在 A 股上市的集成电路制造设备生产商七星电子已在大基金的支持下, 收购了北方微电子 100% 的股权 北方微电子投资总监问东表示, 重组后七星电子原来的半导体设备业务将全部臵于北方微电子, 最大限度发挥协同效应, 带动各项业务包括沉积设备与刻蚀机业务的良性发展 北方微电子是 02 专项 项目重点承担单位之一, 成功完成了 12 英寸 PVD 设备的开发任务, 并且成功打入国外顶尖 IC 生产线, 抢得海外订单 在资本市场的助力下, 北方微电子对其核心产品刻蚀机的研发力度将进一步加大, 有望加速实现刻蚀设备的进口替代 4.2 中微半导体 专注于刻蚀机, 有望受益于 3D VNAND 中微半导体则是国内首家加工亚微米及纳米级大规模集成线路关键设备的公司, 在创立之初, 中微半导体就开始集中精力研发颇具前景的等离子介质刻蚀机产品, 在 2007 年推出的首款 65nm~28nm 工艺的双反应台多腔介质刻蚀机产品 Primo D-RIE( 去耦合反应离子刻蚀 ), 就大获成功 产出能力比竞争对手的系统高出 35% 左右, 而使用成本低 35% 近年来, 中微半导体的介质刻蚀机在 12 英寸先进生产线, 已占有国内 35% 的市场, 在台湾最领先的晶圆公司的五条生产线上, 已有 140 多个反应台实现大规模量产 在南韩, 中微半导体最先进的刻蚀机已有近 30 个反应器, 在 16 纳米最关键的接触孔刻蚀上达到每月 14 万片晶圆的量产, 取代了美国先进的刻蚀设备 除此之外, 中微半导体还推出了面向半导体后道封测工序的硅通孔刻蚀机 Primo TSV 硅通孔刻蚀机, 已占国内相关的市场 50% 以上, 并进入台湾和新加坡的生产线

33 33 图 46 中微半导体在客户生产线安装的等离子刻蚀机 图 47 中微半导体在客户生产线安装的硅通孔刻蚀机 % % % 150% 100% 50% % 120% 100% 80% 60% 40% 20% F 0% F 0% 等离子刻蚀机 ( 台, 左轴 ) 增长率 (%, 右轴 ) 硅通孔刻蚀机 ( 台, 左轴 ) 增长率 (%, 右轴 ) 资料来源 : 电子信息港, 海通证券研究所整理 资料来源 : 电子信息港, 海通证券研究所整理 凭借雄厚研发实力, 中微半导体实现快速增长,2012 年以来每年均保持 40% 的年增长速度,2013 年的设备产品出口占中国泛半导体出口的 64%,14 年更是高达 75%, 而且国内高端设备出口几乎全部来自中微半导体的贡献 2015 年 7 月, 中微半导体已将其 Primo 刻蚀产品家族中的最新一代产品 Primo SSC AD-RIE 交付给韩国领先的存储器制造商 该产品是中微半导体目前最先进的介质刻蚀设备, 可用于 1X 纳米关键刻蚀工艺芯片加工, 而这台交付的设备将在客户最先进工艺的 3D VNAND 试生产线上投入运行 正如前文所述, 伴随着 3D 存储器的发展, 先进刻蚀机的需求将持续高涨, 而中微半导体能进入国际领先存储器厂商的 3D VNAND 试生产线, 表明了公司已在这一高度竞争的领域具备了足够的技术积累 图 年中微设备占中国泛半导体出口的比例 (%) 图 49 Primo SSC AD-RIE 刻蚀机 25% 75% 中微半导体 其他厂商 资料来源 : 电子信息港, 海通证券研究所整理 资料来源 : 中微半导体官网, 海通证券研究所整理 如今, 中微半导体已从等离子刻蚀机技术推广到硅通孔和传感器刻蚀机 MOCVD 等技术, 从单一的半导体前端设备公司逐渐发展成为多元产品的微观加工设备公司 通过丰富产品线, 不断扩大市场占有率 不过这些延展的技术与应用都没有脱离等离子刻蚀核心技术的积累, 作为中流砥柱的等离子刻蚀老本行依然是带动公司开疆扩土 寻求突破的主力军

34 34 图 50 中微半导体产品进入市场的势头和高速的发展 图 51 中微半导体的产品发展路径 资料来源 : 电子信息港, 海通证券研究所整理 资料来源 : 电子信息港, 海通证券研究所整理 4.3 上海微装 国内高端光刻机龙头 目前中国光刻机厂商主要有微装公司 中国电子科技集团公司第四十五研究所 合肥芯硕半导体有限公司 先腾光电科技有限公司 无锡影速半导体科技有限公司 在这几家公司中, 微装公司处于领先地位, 已能量产 90nm 光刻机, 是国内唯一一家研发 生产以及销售高端光刻机的企业, 也是全球第四家生产 IC 前道光刻机的企业 在后道光刻机领域, 微装公司的国内市场占有率超过 80%, 全球市场占有率为 40% 基于先进的扫描光刻机平台技术, 其 500 系列步进投影光刻机能提供覆盖后道 IC 封装 MEMS/NEMS 制造, 具有高分辨率 高套刻精度和高生产率等一系列优点, 可满足用户对设备高性能 高可靠性 低使用成本的生产需求 而 600 系列光刻机是能提供覆盖前道 IC 制造 90nm 节点以上大规模生产所需, 包含 90nm 110nm 和 280nm 等不同分辨率节点要求的 ArF KrF 及 i-line 步进扫描投影光刻机, 可兼容 200mm 和 300mm 硅片 图 52 微装公司 600 系列光刻机 图 53 微装公司 500 系列光刻机 资料来源 : 微装公司官网, 海通证券研究所 资料来源 : 微装公司官网, 海通证券研究所 而由上海微电子装备有限公司研发的中国首台前道 ArF 光刻机已于 8 月 18 日交付客户并投入使用

35 35 图 54 中国首台前道 ArF 光刻机交付客户 资料来源 : 上海微装官网, 海通证券研究所 4.4 沈阳拓荆 CVD 龙头, 由引进消化国外技术到自主研发之路 沈阳拓荆是国内领先的 CVD 设备商, 主要生产 PECVD 设备 公司最初以低成本从美国引进成熟的 6 英寸 PECVD 技术, 从消化吸收 改造软件控制系统入手, 仅用一年时间就实现了 6 英寸 PECVD 设备制造国产化, 国产化率达到 70% 以上, 批量生产后设备在线使用率高达 95%, 并在此基础上承担并完成了 02 专项 纳米等离子体增强化学气相沉积设备的研发与应用, 即 12 英寸 PECVD 设备的研发与应用 2015 年获得了中微和 大基金 的 2.7 亿元投资 图 55 沈阳拓荆发展历程 PECVD 事业部成立 沈阳拓荆科技有限公司成立 推出 12 英寸多反应腔 PF-300T 设备 成功融资 2.7 亿元人民币 2006 年 2008 年 2010 年 2011 年 2012 年 2013 年 2015 年 12 英寸 PECVD 项目启动 12 英寸 PECVD PF- 300β 机出厂到中芯国际测试 PF-300T 通过中芯国际 55-65nm 产品线测试 资料来源 : 沈阳拓荆公司官网, 海通证券研究所 目前, 沈阳拓荆初具 350 台套年生产能力, 是国内唯一能够生产 12 英寸全自动 PECVD 设备的企业, 为华为 中芯国际 苏州晶方以及复旦 清华等高端客户提供设备支持 沈阳拓荆的发展过程为我们提供了一条先引进技术, 通过消化学习逐渐走向自主研发的成功模式

36 36 图 英寸 PECVD 产品 图 57 8 英寸 PECVD 产品 资料来源 : 沈阳拓荆官网, 海通证券研究所 资料来源 : 沈阳拓荆官网, 海通证券研究所 5. 相关推荐标的 5.1 七星电子 正如前文所述, 半导体设备市场机遇巨大, 但我国技术实力却非常薄弱, 而且格局较为分散, 现阶段只有通过并购与行业整合, 才能较快弥合与欧美先进厂商的差距, 承接产业转移带来的设备需求 因此, 平台型企业将成为设备乃至半导体行业最重要的投资热点 而七星电子 ( SZ) 是目前 A 股中唯一具有平台价值的半导体设备厂商 七星电子主要产品为大规模集成电路制造设备和电子元器件, 公司具有多年集成电路装备的制造经验和技术积累, 掌握了半导体集成电路制造工艺方面的薄膜制备工艺 清洗工艺 精密气体质量控制 真空 热工和软件控制等核心技术, 并将产品向太阳能电池 ( 光伏 ) TFT-LCD 分立器件以及电力电子等行业拓展 图 58 七星电子历年营收情况 图 59 七星电子历年毛利率与净利率 % % 35% 30% 25% % 15% 10% 5% 0% 营业收入 ( 百万元 ) 净利润 ( 百万元 ) 毛利率 (%) 净利率 (%) 资料来源 :Wind, 海通证券研究所整理 资料来源 :Wind, 海通证券研究所整理 2015 年 12 月, 七星电子发布公告表示将以 元 / 股的价格向北京电控 七星集团 圆合公司和微电子所发行 万股购买其合计持有的北方微电子 100% 股权, 作价 9.3 亿元 同时, 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股份募集配套资金, 募集配套资金总额为本次标的资产交易价格的 100%, 锁定期 36 个月

37 37 图 60 交易完成后七星电子股权结构 配套融资 芯动能基金 京国瑞基金 国家集成电路产业投资基金 其他股东 微电子所 圆合公司 北京国有资本经营管理中心 100% 北京电子控股有限责任公司 1.63% 2.49% 7.48% 38.31% 0.33% 1.63% 9.28% 53.35% 北京七星华电科技集团有限责任公司 38.85% 七星电子 资料来源 : 公司购买资产公告, 海通证券研究所 通过收购重组, 公司半导体设备产业链布局更加完善, 已经成为中国规模最大 品种最全 涉足领域最广的高端半导体装备全面解决方案提供商, 同时平台价值逐渐显现 当前国内晶圆制造投资加大为国内设备厂商带来了空前的发展机遇 针对不同的制程, 新建和扩建线的项目投资总额可能达 800 亿美元 根据推算, 设备的投资额将达到 亿美元 作为中国技术最先进 规模最大 领域最广 产品最全的半导体高端装备龙头企业, 七星电子及其全资子公司北方微电子所能提供的设备的种类 ( 立式氧化炉 刻蚀机 PVD CVD 等 ) 可以覆盖 亿美元, 市场空间非常开阔 我们也非常看好公司趁着此轮半导体投资热潮迎来飞速发展, 同时推动半导体设备的持续国产化 此外, 看好公司有望在未来成为半导体设备领域的行业整合与海外并购所依托的平台 公司不确定因素 收购整合风险 半导体设备行业发展过慢 5.2 张江高科 张江高科 ( SH) 是经上海市浦东新区管理委员会沪浦管 (1995)245 号文批准, 由上海市张江高科技园区开发公司联合上海久事公司募集设立的股份有限公司 目前公司正在从依赖单一的房地产租赁经营的 资源驱动 和 高投资 重资产 慢周转 模式, 转向整合地产与空间资源 提供集成式专业化服务 参与高科技产业投资的 创新驱动 和 股权化 证券化 品牌化 的发展模式, 寻求产业地产和产业投资业务的有机融合 协同发展 2015 年以来, 公司正逐渐加大产业投资力度, 拓展科技投行布局, 确定了打造 科技地产商 产业投资商 创新服务商 相融合的 新三商 战略的业务布局

38 38 图 61 张江高科近三年营收情况 ( 单位 : 百万元 ) 图 62 张江高科近三年净利润及毛利率 3, % 3, % 2, % 2,000 1,500 1, % 20% 10% 归属母公司股东的净利润 ( 百万元, 左轴 ) 毛利率 (%, 右轴 ) 0% 资料来源 :wind, 海通证券研究所整理 资料来源 :wind, 海通证券研究所整理 今年一月, 张江高科发布公告称, 全资子公司张江浩成创业投资有限公司拟以 2.23 亿人名币投资上海微电子装备有限公司 ( 简称 微装公司 ) 该事项已经公司六届二十一次董事会审议通过 国内半导体设备迎来黄金发展阶段, 作为国内领先的光刻机厂商, 微装公司必将会借此东风, 实现快速发展 而张江高科也将受益于此投资项目, 获得新的利润增长点 公司不确定因素 子公司投资风险 半导体设备行业发展过慢 行业风险提示 : 半导体设备市场复苏不如预期 ; 半导体设备国产化进程不如预期

39 附 1: 沉积设备 光刻机 刻蚀机是三大关键设备 39 SEMI 的数据显示,2015 年全球半导体设备市场营收达 373 亿美元, 其中中国市场营收 48.8 亿美元, 占比 13.09%, 较 2014 年上升 1.43% 预计 2016 年中国设备市场营收为 53.2 亿美元, 增长 9.02%, 占比进一步提升至 14.07% 图 63 全球半导体设备销售收入 图 64 半导体设备中国市场规模占比 单位 : 十亿美元 E 2016E 中国欧洲日本台湾韩国北美洲其他地区 15% 13% 10% 8% 5% 3% 0% E 2016E 中国市场占比 (%) 资料来源 :SEMI, 海通证券研究所整理 资料来源 :SEMI, 海通证券研究所整理 半导体设备按生产工艺流程可分为前端设备 晶圆制造设备 封装设备及测试设备 其中, 晶圆制造设备在设备市场中的占比最大 ( 约 65%), 技术含量最高, 也是目前国产品牌占有率最低的领域 图 65 半导体设备分类 图 66 半导体设备细分市场规模占比 资料来源 : 赛迪顾问, 海通证券研究所整理 资料来源 : 赛迪顾问, 海通证券研究所整理 晶圆制造过程被称为是一个点沙成金的过程, 一整片晶圆在经历了包括沉积 光刻 刻蚀 清洗等多个步骤的复杂制备流程后, 成为待封装的 IC 芯片 其中, 光刻机 沉积设备 刻蚀机均属于晶圆制造的关键设备

40 40 图 67 晶圆制备流程图 资料来源 :LAM Research 官网, 海通证券研究所 表 年全球晶圆制造类设备市场规模 设备种类全球龙头市场规模 ( 百万美元 ) 占比 光刻机 ASML Nikon,Canon % 沉积设备 Applied Materials,Lam Research, 东电 % 光刻涂胶机东电电子,Screen Semiconductor Solutions % 刻蚀 清洗 平整化设备 Lam Research,Applied Materials, 东电 % 离子注入机 Applied Materials,Axcelis Technologies % 热处理设备 Applied Materials, 东电,Hitachi Kokusai Electric 755 2% 过程控制机 KLA-Tencor,Applied Materials % 自动化装备 Murata Machinery,Daifuku,Applied Materials % 其他晶圆制造设备 512 2% 资料来源 :Gartner, 海通证券研究所 附 2: 薄膜沉积 :IC 制造的重要工序 附 2.1 集成电路制造需经历多次薄膜沉积工序,PVD CVD 各有用途 所谓薄膜沉积, 是一连串涉及原子的吸附 吸附原子在表面的扩散及在适当的位臵下聚结, 以渐渐形成薄膜并成长的过程 通过薄膜沉积, 可以给材料表面覆上薄膜以获得某种需要的特性 在晶圆制造流程中, 为了实现芯片的设计效果需要在硅片上集成复杂的电路, 这些结构层大部分是采用薄膜沉积的方法实现的 特别是在硅片完成清洗步骤后, 往往要经历沉积 - 刻蚀 - 沉积的反复过程, 根据每层表面介质的不同, 可能采用不同的沉积方法, 从而形成晶圆复杂的分层效果

41 41 图 68 晶圆的分层效果示意图 资料来源 : 百度文库, 海通证券研究所 薄膜沉积的过程按照是否涉及化学反应, 可分为 PVD(Physical Vapor Deposition) 物理气相沉积和 CVD(Chemical Vapor Deposition) 化学气相沉积两类 整个晶圆生产流程中,PVD 和 CVD 设备加起来占总设备成本的 25%, 极大地影响着生产线的建造成本 PVD 以物理机制来进行薄膜沉积而不涉及化学反应, 所谓物理机制是物质的相变化现象, 如蒸镀将蒸镀源由固态转化为气态, 溅镀则由固态转化为电浆态 CVD 则通过化学反应进行薄膜沉积, 将反应源以气体形式通入反应腔中, 经由氧化还原或与基板反应之方式进行化学反应, 其生成物藉内扩散作用而沉积基板表面上 一般来说 PVD 比较适合用于金属或金属氧化物, 而 CVD 广泛应用于多晶硅 绝缘介质和金属薄膜的制备 PVD CVD 的技术原理等细节详见附录 图 69 PVD 工艺原理示意图 图 70 CVD 工艺原理示意图 资料来源 : 百度文库, 海通证券研究所 资料来源 :SEAJ data, 海通证券研究所 相对于 PVD,CVD 具备更好的阶梯覆盖性能, 且无需高真空环境, 沉积速率高 但另一方面,PVD 是完全无污染的工序, 而 CVD 的反应气体 反应尾气部分具备腐蚀性 可燃性及毒性, 因此需对设备 环境 操作人员采取严格的防范措施

42 42 表 10 PVD 和 CVD 特征比较 主要应用领域 PVD 金属 ( 如 Ti Al Au 等 ), 金属氧化物 ( 如 ITO,ZnO 等 ) CVD 电介质 ( 如 SiO₂ Si3N4 多晶硅等 ) 温度低高 气压低高 台阶覆盖性差好 平整性好好 组分控制差好 薄膜纯洁度低高 安全性高低 资料来源 : 百度文库, 海通证券研究所 这两种工艺的成本不可一概而论, 但通常 PVD 所需的初始设备投资较大, 是 CVD 的 3 倍左右, 且生产周期约为 CVD 的 1/10 因此综合来看, 在两种工艺都可使用的情况下,CVD 的成本相对较低 附 2.2:ALD 已成先进制程的主流沉积技术 目前, 芯片制程正在往 10nm 甚至更先进节点前进, 对薄膜沉积的挑战越来越大 原子层沉积 (atomic layer deposition,ald) 技术由于其沉积参数的高度可控性 ( 包括厚度 成分和结构等 ) 优异的沉积均匀性和一致性, 已经成为当前沉积技术的主流 ALD 的基本原理是通过将气相前驱体脉冲交替地通入反应器, 当前驱体到达沉积基体表面时, 它们会在其表面化学吸附并发生反应进而形成沉积薄膜 图 71 原子层沉积原理 ( 以 Al 2O 3 薄膜为例 ) 资料来源 :CSIA, Gartner, 海通证券研究所整理 随着 DRAM 存储器容量的不断增大, 其内部的电容器数量随之剧增, 而单个电容器的尺寸将进一步减小, 电容器内部沟槽的深宽比也越来越大, 所需覆盖的孔洞其深宽比高达 100:1 在这种情况下, 传统的 PVD CVD 沉积技术难以胜任 因为当沟槽深宽比达 7:1 时,PVD 与 CVD 两种方法在沟槽开口处沉积电容物质较快, 而沟槽底部较慢, 导致沟槽底部的阶梯覆盖率不佳而造成组件失效 相比之下,ALD 可在高深宽比沟槽上, 制作阶梯覆盖率极佳的薄膜

43 43 图 72 经 ALD 沉积 Al 2O 3 薄膜 DRAM 存储器具有更长的使用寿命 资料来源 :Infineon Technologies, 海通证券研究所整理 附 3: 光刻机 :IC 产业皇冠上明珠, 摩尔定律关键推动者 附 3.1 光刻机是摩尔定律的关键推动者 光刻技术指利用光学 - 化学反应原理, 将电路图形传递到晶圆表面, 形成有效图形窗口的工艺技术, 而光刻机是光刻工序中的曝光工具 芯片的制造工艺复杂, 经历化学机械抛光 光刻 刻蚀封装等数十道工序, 在诸多工序中以光刻技术最为关键 因为光刻技术决定着制程芯片的特征尺寸, 同时光刻技术是成本最高, 占用时间最长的工序之一 (1) 光刻机决定芯片最小尺寸, 是推动摩尔定律的关键设备 摩尔定律由英特尔创始人戈登 摩尔在 1965 年提出, 他提出集成电路单位面积元件数目每隔 个月便会增加一倍, 性能也将提升一倍 50 多年来, 从 1969 年第一块 4 位微处理器集成 2300 个晶体管, 到 2015 年第五代酷睿处理器集成 13 亿个晶体管, 全球半导体产业的发展一直遵循着这条定律 芯片集成度提升带动电子产业迅速发展, 同时芯片成本也大幅度的下降, 可以说摩尔定律是电子产业发展最深层的推动力量 图 年来芯片集成度几何倍数提升 图 74 摩尔定律带动芯片成本大幅下降 资料来源 :nature, 海通证券研究所整理 资料来源 : 英特尔, 海通证券研究所整理 而光刻技术将芯片设计版图转移到晶圆上去, 因此光刻机是决定芯片特征尺寸, 从而集成度的关键设备, 可以说 7nm 之前光刻是最主要的技术瓶颈 光源 成像透镜 光致抗蚀剂 分步扫描技术以及 EUV 技术的成熟, 使光刻分辨率不断提升, 推动摩尔

44 44 定律向前发展 图 75 光刻机解析度是决定芯片集成度的关键因素 资料来源 :ASML, 海通证券研究所整理 (2) 光刻机成本最高, 占用时间最长 每一次工艺节点的进度背后都是光刻设备的重大革新, 光刻设备从光源 ( 从最初的 g-line, H-Line 发展到极紫外 EUV) 曝光方式 ( 从接触式到步进式, 从干式投影到浸没式投影 ) 不断进行着改进

45 45 表 11 光刻机发展路线图 代别工艺节点首次应用时间机型图片特征 第一代 1500nm 1798 年 g 线光刻机 : GCA 公司制造 光源 g 线 第二代第三代 800nm 500nm 1988 年 1991 年 g/i 线光刻机 : 汞灯作为曝光源 波长 365nm 第四代 350nm 1995 年 步进曝光方式 TFL 同轴对准方式 第五代 250nm 1997 年 第六代 180nm 1999 年 第七代 130nm 2001 年 第八代 90nm 2005 年 KrF 光刻机 : 波长为 248nm KrF 激光器作为曝光光源 扫描曝光工作方式 离轴加同轴对准方式 ArF 光刻机 : 波长为 193nm ArF 激光器作为曝光光源 扫描曝光工作方式 离轴加同轴对准方式 第九代 65nm 2007 年 ArF 浸没光刻机 : 波长为 193nm ArF 激 光器作为曝光光源 第十代 45nm 2010 年 液体浸没 扫描曝光和双工件台 离轴加同轴对准方式 第十一代 32nm 2013 年 EUV 光刻机 : 曝光源波长 13.5nm 全反射投影物镜第十二代 22nm 2016 年 真空曝光方式 资料来源 : 武汉光电国家实验室, 海通证券研究所整理 光刻工序的复杂以及对精度要求之高推动光刻机价格上升,ASML 单台光刻机可达 5000 万美元 光刻机占晶圆生产线设备成本 30%, 占芯片制造时间 40%-50%, 属于成本最高, 占用时间最长的半导体设备

46 46 图 76 光刻机价格持续上升 图 77 晶圆生产线中各半导体设备成本占比 35% 30% 25% 20% 15% 10% 5% 0% 资料来源 :nature, 海通证券研究所整理 资料来源 :GlobalFoundries, 海通证券研究所整理 附 3.2 EUV 是推动下一代先进制程的关键工艺 极紫外光刻 (Extreme Ultraviolet Lithography,EUV), 它以波长为 10-14nm 的极紫外光作为光源的光刻技术, 能够加工至既有 ArF 准分子激光光刻技术不易达到的 20nm 以下精密尺寸 目前最为成熟的 193nm 液浸式光刻系统因受到波长的影响, 技术突破十分困难, 因此 EUV 被视为推动下一代先进制程的关键工艺 图 78 EUV 技术将降低工艺复杂性和生产周期 资料来源 :ASML, 海通证券研究所 但是,EUV 技术难度极大 所需投资的资金太高, 之前由于光源的功率不足等原因, 导致 EUV 设备一再被推迟, 让业界几乎丧失信心, 都认为在 10nm 时应用 EUV 光刻工艺毫无希望 不过, 在 2015 年 4 月, 光刻机巨头 ASML 宣布, 其大客户英特尔将至少采购 15 台 EUV 光刻机 以一台 EUV 机台九千五百万欧元的订价计算, 此次订单价值接近 15 亿欧元 目前, 两家顶级大厂英特尔及台积电均已利用 EUV 光刻机进行晶圆处理, 每天可曝光 600 片晶圆 ASML 预计到 2016 年年底,EUV 光刻机将达到每天曝光 1500 片晶圆的处理能力

47 47 图 79 EUV 技术将极大地提高先进制程芯片的产能 资料来源 :ASML, 海通证券研究所 EUV 光刻技术取得关键突破意义重大, 表明了摩尔定律将能持续向 10nm 及以下制程顺利推进, 半导体产业也将进入新一轮的发展周期 图 80 ASML 第四代 EUV 光刻机 NXE:3350B 资料来源 :ASML, 海通证券研究所 附 4: 刻蚀机 : 3D NAND 趋势将凸显刻蚀机重要性 半导体工艺技术是一种综合技术, 其中以光刻 刻蚀为代表的微细加工技术是半导体工艺技术中最为关键的技术, 并决定了最小线宽的极限 刻蚀 (etching) 是半导体器件制造中利用化学途径选择性地移除沉积层特定部分的工艺 刻蚀工艺对设备精度要求非常高, 一台刻蚀机的准确度要达到 99.99% 才能满足整个硅片的良率要求 因为如果刻蚀过程中出现失误, 将造成难以恢复的硅片报废 美国应用材料公司副总裁 Shankar Venkataraman 博士就曾表示, 生产先进芯片的一个重要壁垒是在一个多层结构芯片中有选择性地清除某一特定材料, 而不破坏其他材料 因此, 刻蚀机在晶圆生产线中的成本占比仅次于光刻机, 其发展也在一定程度上决定着摩尔定律能否继续向前发展

48 48 图 81 刻蚀流程简略图 图 82 干法刻蚀装臵图 资料来源 :SEMI, 海通证券研究所 资料来源 :SEMI, 海通证券研究所整理 刻蚀技术主要分为干法刻蚀与湿法刻蚀 湿法刻蚀利用化学试剂与被刻蚀材料发生化学反应进行刻蚀 但由于需要大量对人体和环境有害的腐蚀性化学试剂, 目前在大规模集成电路制造中, 湿法腐蚀正被干法刻蚀所替代 除了更为环保, 干法刻蚀还可以在最大限度上保证纵向刻蚀的情况下, 控制横向刻蚀, 实现更好的精度和更快的刻蚀速度 在干法刻蚀当中, 物理性离子轰击和化学反应相结合的反应离子刻蚀 (RIE) 是超大规模集成电路制造工艺中应用最广泛的主流刻蚀技术 刻蚀机龙头 LAM Research 公司量产的 KIYO 及 VERSYS 系列刻蚀机均采用了反应离子刻蚀技术 图 年干法刻蚀机销售总额占比 图 84 LAM Research 公司生产的反应离子刻蚀机 38% 62% 干法刻蚀设备 其他 资料来源 :Gartner, 海通证券研究所整理 资料来源 :LAM Research, 海通证券研究所 在芯片制程不断缩小的情况下, 高精度的加工工艺成为了延续摩尔定律的关键所在 在未来十年内, 晶体管栅极尺寸预计将会小于 50 个原子这一线度, 包括表面粗糙度在内的特征结构在尺寸上的变化都将会以原子级别来计量 在刻蚀工艺上, 原子层刻蚀 (ALE) 能够通过多重步骤过程移除原子级别的特定材料, 实现极高的精度和保真度, 是未来刻蚀工艺的重要发展方向

49 49 图 85 刻蚀工艺正朝着 ALE 发展 资料来源 :SEMI, 海通证券研究所整理 随着行动装臵 物联网等装臵中越来越多采用 NAND 存储器 而面对更轻薄 低功耗的需求,2D 应用的存储器将越来越难以满足要求, 业界转进 3D NAND 的脚步开始加速,NAND 堆叠的薄膜层数日益攀升 3D NAND 在制造过程中, 每叠一层就需要薄膜设备协助 ; 而要让每一层薄膜可顺利 沟通, 刻蚀技术必不可少 FinFET(3D 鳍式场效电晶体 ) 亦是 3D 堆叠的一种, 如同 3D NAND,FinFET 也需要薄膜和刻蚀 随着 3D NAND 的兴起, 半导体设备行业对刻蚀机及沉积设备的投资迎来空前热潮, 刻蚀设备的地位将达到新高 图 86 3D 存储技术拉动半导体设备行业对刻蚀机及沉积设备的投资热潮 资料来源 :Applied Materials, 海通证券研究所 附 5: 三大工艺原理 附 5.1 薄膜沉积设备的工作原理 薄膜沉积工艺评价指标 硅片加工中衡量薄膜沉积工艺的几个重要标准包括 : 台阶覆盖能力 深宽比间隙填充能力 厚度均匀性等 其中台阶覆盖能力是指在不平整的表面保持薄膜厚度均匀 ; 深

50 50 宽比间隙填充能力是指在宽度窄深度深的小间隙处保证填充均匀 无空洞 能否满足这些薄膜特性是衡量某个薄膜沉积工艺优劣的重要标准 图 87 台阶覆盖能力 图 88 深宽比间隙填充能力 资料来源 : 桂林电子科技大学, 海通证券研究所 资料来源 : 桂林电子科技大学, 海通证券研究所 表 12 沉积工艺需满足的薄膜特性 薄膜特性要求 含义 / 解释 台阶覆盖能力 硅穿孔底部薄膜的厚度与顶部或平面薄膜厚度的比率以及保角性 深宽比间隙填充能力 在小间隙尺寸下无空洞 均匀填充的能力 厚度均匀性 薄膜厚度需均匀一致, 因为厚度影响材料电阻, 进而影响器件的电特性 膜纯度与密度 纯度与密度将影响膜层中原子的多少与针孔或空洞的多少 膜应力 膜应力会导致硅片变形, 造成膜的开裂与分层 电学特性与粘附性 影响器件可靠性与膜层质量 资料来源 : 百度文库, 海通证券研究所 PVD 设备分类及不同工艺对比 PVD 工艺主要可以分为三大类 : 蒸镀 溅镀和离子镀 所谓蒸镀是在真空环境下, 以各种加热方式使待蒸发源材料获得蒸汽压蒸发, 所发射的气相蒸发物质在具有适当温度的基片上不断沉积而形成薄膜的沉积技术 溅射是依靠高能离子输入动能, 借助源材料中粒子间的弹性碰撞, 致使更高动能粒子逸出飞向基板沉积 离子化法则是通过将成膜材料高度电离化形成膜材料离子, 从而增加膜材料离子的沉积动能, 并使之在高化学活性状态下沉积薄膜的技术 其中溅镀法在成膜质量和难易程度上都优于蒸镀, 因此是比较主流的方法

51 51 图 89 PVD 工艺的分类 图 90 PVD 工艺的比较 蒸镀法溅射法离化法 蒸镀 (Evaporation) PVD 法 溅镀 (Sputter) 分子束外延 (MBE) 淀积速度 慢 快 慢 厚度控制 差 好 差 成分控制 差 好 好 靶材选择 少 ( 金属靶材 ) 多 少 台阶覆盖能力 差 好 好 均匀度 差 好 好 辐射缺陷 多 少 多 资料来源 :SCH, 海通证券研究所 资料来源 : 桂林电子科技大学, 海通证券研究所 CVD 设备分类及不同工艺对比 CVD 的常见方法主要有三个 : 属于 APCVD 大类下的 MOCVD, 低压环境下的 LPCVD 和等离子化的 PECVD 图 91 CVD 工艺的分类 卤化物气体为原料 APCVD 氢卤化物气体为原料 封闭式 LPCVD MOCVD CVD 法 流通式 Cat-CVD dc-cvd 光 CVD PECVD/ HDPCVD Rf-CVD MWCVD ECRCVD 资料来源 :SCH, 海通证券研究所 MOCVD 是指半导体薄膜成长过程中所采用的反应源为金属有机物, 常用的基板为砷化镓 (GaAs) 硅 (Si) 蓝宝石等, 通常所成长的薄膜材料主要为三五族或二六族化合物半导体, 多应用在光电元件如 LED 阳能电池及微电子元件的制作 LPCVD 在低压环境下完成, 生成膜的质量和均匀性好, 且成本低, 易于实现自动化, 在半导体集成电路制程中广泛使用 PECVD 通过低压气体放电获得等离子体, 离子态下更容易发生反应进行沉积 PECVD 的优点是沉积温度低, 沉积速度快, 成膜致密且均匀, 易于实现自动化 但是对气体纯度要求高, 设备投资较大

52 52 表 13 各项沉积技术对比 对比项目 ALD PVD CVD MBE Sputter 沉积原理表面自限制反应组蒸 / 电子束蒸发气相反应沉积分子束外延溅射 沉积过程层状生长形核长大形核长大形核长大形核长大 台阶覆盖率优秀一般好一般一般 沉积速度慢低快慢快 沉积温度低中高高低 真空度 低 高 低 超高 低 沉积层均匀性 优秀 一般 较好 一般 一般 界面品质 优秀 好 好 好 一般 厚度控制 反应循环次数 沉积时间 沉积时间 / 气相分压 沉积时间 沉积时间 成分 均匀, 杂质少 无杂质 易含杂质 杂质少 无杂质 工业应用好优秀好一般好 资料来源 : 深圳市工业表面处理行业协会, 海通证券研究所 附 5.2: 光刻机的工作原理 光刻机通过一系列的光源能量 形状控制手段, 将光束透射过画着线路图的掩模, 经物镜补偿各种光学误差, 将线路图成比例缩小后映射到硅片上, 然后使用化学方法显影, 得到刻在硅片上的电路图 ( 即芯片 ) 一般的光刻工艺要经历硅片表面清洗烘干 涂底 旋涂光刻胶 软烘 对准曝光 后烘 显影 坚膜烘焙 显影检查等工序 经过一次光刻的芯片可以继续涂胶 曝光 越复杂的芯片, 线路图的层数越多, 也需要更精密的曝光控制过程 图 92 光刻技术的基本原理 图 93 光刻工艺的 8 个基本步骤 气相成底膜 曝光后烘焙 旋转涂胶 显影 软烘 坚膜烘焙 对准与曝光 显影检查 资料来源 : 中关村在线, 海通证券研究所 资料来源 : 百度, 海通证券研究所 光刻机系统非常复杂, 包括了测量台 曝光台 ( 合称双工作台 ) 光源 光束矫正器 光束矫正器 遮光器 能量探测器 掩模版 掩膜台 物镜 硅片及内部封闭框架 减振器等

53 53 图 94 光刻机总体结构 图 95 ASML 光刻机的简易原理图 资料来源 : 道客巴巴, 海通证券研究所 资料来源 : 中华网论坛, 海通证券研究所 附 5.3: 刻蚀机的工作原理 刻蚀, 是在半导体工艺中按照掩模图形或设计要求对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离的技术 刻蚀过程包括三个步骤 :(1) 反应物质量输运 (Mass transport) 到要被刻蚀的表面 ;(2) 在反应物和被刻蚀的膜表面之间的反应 ;(3) 反应产物从表面向外扩散的过程 图 96 刻蚀过程的三个步骤 资料来源 : 半导体制造工艺基础, 海通证券研究所 刻蚀工艺分为湿法刻蚀及干法刻蚀两种, 其中干法腐蚀能达到高的分辨率, 具有良好的侧壁剖面控制 ( 各向异性 ) 和刻蚀选择性 合适的刻蚀速率 好的片内均匀性等优点, 且对环境相对友好, 因此是大规模集成电路制造的主流刻蚀方法 干法刻蚀又分为物理刻蚀 化学刻蚀及离子增强刻蚀 其中, 反应离子刻蚀 ( 属于离子增强刻蚀 ) 是一种采用化学反应和物理离子轰击去除晶片表面材料的技术, 结合了物理刻蚀与化学刻蚀的优点, 具有刻蚀速率高 可控 各向异性 形貌可控选择比高等优秀性能

54 54 图 97 化学刻蚀与物理刻蚀的刻蚀原理 图 98 反应离子刻蚀的原理 化学刻蚀 物理刻蚀 资料来源 : 半导体制造工艺基础, 海通证券研究所 资料来源 :SEMI, 海通证券研究所 表 14 刻蚀工艺的性能参数 刻蚀速率 R 单位时间刻蚀的薄膜厚度 对产率有较大影响 刻蚀均匀性 一个硅片或多个硅片或多批硅片上刻蚀速率的变化 选择性 S 不同材料之间的刻蚀速率比 各项异性度 A 刻蚀的方向性 A=0, 各项同性 ;A=1, 各项异性 掩膜层下刻蚀 横向单边的过腐蚀量 资料来源 : 半导体制造工艺基础, 海通证券研究所

55 55 信息披露 分析师声明 [Table_Analysts] 陈平电子行业 本人具有中国证券业协会授予的证券投资咨询执业资格, 以勤勉的职业态度, 独立 客观地出具本报告 本报告所采用的数据和信息均来自市场公开信息, 本人不保证该等信息的准确性或完整性 分析逻辑基于作者的职业理解, 清晰准确地反映了作者的研究观点, 结论不受任何第三方的授意或影响, 特此声明 分析师负责的股票研究范围 [Table_Reports] 重点研究上市公司 : 中颖电子, 歌尔股份, 沪电股份, 通富微电, 利亚德, 中安消, 四维图新, 苏奥传感, 万润股份, 欧菲光, 鸿利光电, 鼎龙股份, 当升科技, 劲拓股份, 国光电器, 硕贝德, 艾派克, 莱宝高科, 保千里, 兆易创新, 深科技, 长盈精密, 天喻信息, 合力泰, 长信科技, 景嘉微, 七星电子, 耐威科技, 恒宝股份, 彩虹股份, 汇冠股份, 启源装备, 长方集团, 胜利精密, 鸿利智汇, 上海新阳, 长电科技, 大港股份, 洲明科技, 华天科技, 紫光国芯, 联创电子, 厦门信达, 濮阳惠成, 奥瑞德 投资评级说明 1. 投资评级的比较标准类别评级说明 投资评级分为股票评级和行业评级买入个股相对大盘涨幅在 15% 以上 ; 以报告发布后的 6 个月内的市场表现为比较标准, 报告发布日后 6 个月内的公司股价 ( 或行业指数 ) 的涨跌幅相对同期的海通综指的涨跌幅为基准 ; 增持个股相对大盘涨幅介于 5% 与 15% 之间 ; 股票投资评级中性个股相对大盘涨幅介于 -5% 与 5% 之间 ; 减持个股相对大盘涨幅介于 -5% 与 -15% 之间 ; 卖出个股相对大盘涨幅低于 -15% 2. 投资建议的评级标准 增持 行业整体回报高于市场整体水平 5% 以上 ; 报告发布日后的 6 个月内的公司股价 ( 或行 行业整体回报介于市场整体水平 -5% 与 5% 业指数 ) 的涨跌幅相对同期的海通综指的涨 行业投资评级 中性 之间 ; 跌幅 减持 行业整体回报低于市场整体水平 5% 以下 法律声明 本报告仅供海通证券股份有限公司 ( 以下简称 本公司 ) 的客户使用 本公司不会因接收人收到本报告而视其为客户 在任何情况下, 本报告中的信息或所表述的意见并不构成对任何人的投资建议 在任何情况下, 本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任 本报告所载的资料 意见及推测仅反映本公司于发布本报告当日的判断, 本报告所指的证券或投资标的的价格 价值及投资收入可能会波动 在不同时期, 本公司可发出与本报告所载资料 意见及推测不一致的报告 市场有风险, 投资需谨慎 本报告所载的信息 材料及结论只提供特定客户作参考, 不构成投资建议, 也没有考虑到个别客户特殊的投资目标 财务状况或需要 客户应考虑本报告中的任何意见或建议是否符合其特定状况 在法律许可的情况下, 海通证券及其所属关联机构可能会持有报告中提到的公司所发行的证券并进行交易, 还可能为这些公司提供投资银行服务或其他服务 本报告仅向特定客户传送, 未经海通证券研究所书面授权, 本研究报告的任何部分均不得以任何方式制作任何形式的拷贝 复印件或复制品, 或再次分发给任何其他人, 或以任何侵犯本公司版权的其他方式使用 所有本报告中使用的商标 服务标记及标记均为本公司的商标 服务标记及标记 如欲引用或转载本文内容, 务必联络海通证券研究所并获得许可, 并需注明出处为海通证券研究所, 且不得对本文进行有悖原意的引用和删改 根据中国证监会核发的经营证券业务许可, 海通证券股份有限公司的经营范围包括证券投资咨询业务 [Table_PeopleInfo]

56 56 海通证券股份有限公司研究所 路颖所长 (021) 高道德副所长 (021) 姜超副所长 (021) 江孔亮副所长 (021) 邓勇所长助理 (021) 荀玉根所长助理 (021) 钟奇所长助理 (021) 宏观经济研究团队姜超 (021) 顾潇啸 (021) 于博 (021) 联系人梁中华 (021) 许晟洁 (021) 李金柳 (021) 张凤逸 (021) 固定收益研究团队姜超 (021) 周霞 (021) 朱征星 (021) 张卿云 (021) 联系人张雯 (021) 姜珮珊 (021) 李雨嘉 (021) 杜佳 政策研究团队李明亮 (021) 陈久红 (021) 吴一萍 (021) 朱蕾 (021) 周洪荣 (021) 王旭 (021) 电力设备及新能源行业周旭辉 (021) 牛品 (021) 房青 (021) 徐柏乔 (021) 杨帅 (010) 联系人曾彪 (021) 张向伟 (021) 汽车行业邓学 (0755) 联系人谢亚彤 (021) 王猛 (021) 杜威 纺织服装行业于旭辉 唐苓 (021) 梁希 (021) 联系人马榕 金融工程研究团队高道德 (021) 吴先兴 (021) 冯佳睿 (021) 张欣慰 (021) 郑雅斌 (021) 沈泽承 (021) 余浩淼 (021) 袁林青 (021) 罗蕾 (021) 联系人颜伟 (021) 周一洋 (021) 姚石 (021) 吕丽颖 (021) 策略研究团队荀玉根 (021) 钟青 (010) 李珂 (021) 高上 (021) 联系人申浩 (021) 郑英亮 (021) 李影 姚佩 (021) 批发和零售贸易行业汪立亭 (021) 王晴 (021) 联系人王汉超 (021) 有色金属行业施毅 (021) 田源 (021) 联系人杨娜 (021) 非银行金融行业孙婷 (010) 何婷 (021) 联系人夏昌盛 房地产行业涂力磊 (021) 谢盐 (021) 贾亚童 (021) 金融产品研究团队高道德 (021) 倪韵婷 (021) 陈瑶 (021) 唐洋运 (021) 宋家骥 (021) 联系人谈鑫 (021) 皮灵 (021) 王毅 (021) 徐燕红 (021) 蔡思圆 中小市值团队钮宇鸣 (021) 张宇 (021) 刘宇 (021) 孔维娜 (021) 联系人王鸣阳 (021) 程碧升 (021) 潘莹练 (021) 相姜 (021) 石油化工行业邓勇 (021) 联系人朱军军 (021) 毛建平 (021) 殷奇伟 (021) 医药行业余文心 (0755) 郑琴 (021) 孙建 (021) 联系人高岳 (010) 师成平 (010) 贺文斌 (010) 交通运输行业虞楠 (021) 张杨 (021) 联系人童宇 (021) 机械行业联系人耿耘 (021) 杨震 (021)

57 57 电子行业陈平 (021) 建筑工程行业金川 (021) 杜市伟 联系人毕春晖 (021) 公用事业张一弛 (021) 联系人赵树理 (021) 张磊 (021) 通信行业朱劲松 (010) 夏庐生 (010) 联系人彭虎 (010) 庄宇 社会服务行业联系人陈扬扬 (021) 顾熹闽 造纸轻工行业曾知 (021) 联系人马婷婷 研究所销售团队 基础化工行业刘威 (0755) 李明刚 (0755) 刘强 (021) 联系人刘海荣 (021) 建筑建材行业邱友锋 (021) 钱佳佳 (021) 冯晨阳 (021) 食品饮料行业闻宏伟 (010) 孔梦遥 (010) 成珊 (021) 煤炭行业吴杰 (021) 李淼 (010) 联系人戴元灿 (021) 家电行业陈子仪 (021) 联系人李阳 计算机行业郑宏达 (021) 谢春生 (021) 联系人黄竞晶 (021) 杨林 (021) 钢铁行业刘彦奇 (021) 联系人刘璇 农林牧渔行业丁频 (021) 陈雪丽 (021) 联系人陈阳 (010) 关慧 (021) 叶云开 (021) 军工行业徐志国 (010) 联系人蒋俊 张恒晅 (010) 银行行业林媛媛 (0755) 联系人林瑾璐 谭敏沂 互联网及传媒钟奇 (021) 郝艳辉 (010) 联系人孙小雯 (021) 强超廷 (021) 毛云聪 (010) 刘欣 (010) 唐宇 深广地区销售团队蔡铁清 (0755) 刘晶晶 (0755) 辜丽娟 (0755) 伏财勇 (0755) 王雅清 (0755) 饶伟 (0755) 欧阳梦楚 (0755) 上海地区销售团队胡雪梅 (021) 朱健 (021) 季唯佳 (021) 黄毓 (021) 孟德伟 (021) 漆冠男 (021) 蒋炯 毛文英 (021) 黄诚 (021) 胡宇欣 (021) 方烨晨 (021) 杨祎昕 (021) 北京地区销售团队殷怡琦 (010) 李铁生 (010) 杨羽莎 (010) 张丽萱 (010) 陆铂锡 张明 吴尹 海通证券股份有限公司研究所地址 : 上海市黄浦区广东路 689 号海通证券大厦 9 楼电话 :(021) 传真 :(021) 网址 :

公 司 研 究 中 联 环 (835750)2 目 录 1. 循 环 洁 水, 环 境 专 家... 5 2. 污 水 治 理 进 入 重 效 果 高 标 准 时 代... 6 3. 分 布 式 水 厂 契 合 新 背 景 下 污 水 处 理 需 求... 8 4. 业 绩 预 测 与 评 级...

公 司 研 究 中 联 环 (835750)2 目 录 1. 循 环 洁 水, 环 境 专 家... 5 2. 污 水 治 理 进 入 重 效 果 高 标 准 时 代... 6 3. 分 布 式 水 厂 契 合 新 背 景 下 污 水 处 理 需 求... 8 4. 业 绩 预 测 与 评 级... [Table_MainInfo] 公 司 研 究 / 公 用 事 业 / 水 公 用 事 业 中 联 环 (835750) 公 司 研 究 报 告 证 券 研 究 报 告 2016 年 07 月 19 日 [Table_InvestInfo] 投 资 评 级 暂 不 评 级 首 次 覆 盖 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 7 月 18 日

More information

2 目 录 1. 行 业 整 体 增 速 15%, 细 分 领 域 各 有 不 同... 5 2. 基 本 面 : 景 气 度 继 续 由 硬 件 向 软 件 过 渡... 9 3. 智 能 安 防 信 息 安 全 大 数 据 云 计 算 金 融 IT 增 速 较 快... 11 3.1 智 能 安

2 目 录 1. 行 业 整 体 增 速 15%, 细 分 领 域 各 有 不 同... 5 2. 基 本 面 : 景 气 度 继 续 由 硬 件 向 软 件 过 渡... 9 3. 智 能 安 防 信 息 安 全 大 数 据 云 计 算 金 融 IT 增 速 较 快... 11 3.1 智 能 安 [Table_MainInfo] 行 业 研 究 / 信 息 服 务 行 业 专 题 报 告 证 券 研 究 报 告 216 年 3 月 2 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 27357.21 2235.38 17343.54 12336.71 7329.87 信 息 服 务 2323.4 215/3 215/6

More information

固 定 收 益 研 究 固 定 收 益 专 题 报 告 2 目 录 1. 当 前 转 债 市 场 概 览 : 从 趋 同 到 分 化... 5 2. 货 币 宽 松 退 位, 权 益 前 途 未 卜... 6 2.1 汇 率 + 改 革 + 资 产 泡 沫, 货 币 宽 松 退 位... 6 2.2

固 定 收 益 研 究 固 定 收 益 专 题 报 告 2 目 录 1. 当 前 转 债 市 场 概 览 : 从 趋 同 到 分 化... 5 2. 货 币 宽 松 退 位, 权 益 前 途 未 卜... 6 2.1 汇 率 + 改 革 + 资 产 泡 沫, 货 币 宽 松 退 位... 6 2.2 2 固 定 收 益 研 究 证 券 研 究 报 告 [Table_Title] 相 关 研 究 [Table_ReportInfo] 宽 松 预 期 下 降, 股 债 一 齐 遇 冷 216.2.2 去 产 能 债 务 如 何 破? 国 际 及 98 年 国 内 经 验 借 鉴 216.2.1 压 垮 僵 尸 企 业 的 最 后 一 根 稻 草 过 剩 行 业 债 券 发 行 情 况 梳 理 216.1.29

More information

公 司 研 究 万 里 股 份 (600847)2 目 录 投 资 要 点... 5 1. 万 里 股 份 情 况 介 绍... 6 1.1 本 次 重 组 前 公 司 业 务 经 营 情 况... 6 1.2 本 次 重 组 前 公 司 股 权 结 构 情 况... 6 2. 搜 房 重 磅 回

公 司 研 究 万 里 股 份 (600847)2 目 录 投 资 要 点... 5 1. 万 里 股 份 情 况 介 绍... 6 1.1 本 次 重 组 前 公 司 业 务 经 营 情 况... 6 1.2 本 次 重 组 前 公 司 股 权 结 构 情 况... 6 2. 搜 房 重 磅 回 [Table_MainInfo] 公 司 研 究 / 汽 车 与 零 配 件 / 汽 车 零 配 件 万 里 股 份 (600847) 公 司 深 度 报 告 证 券 研 究 报 告 2016 年 01 月 26 日 [Table_InvestInfo] 投 资 评 级 买 入 首 次 覆 盖 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 57.62 08

More information

公 司 研 究 浙 江 鼎 力 (603338)2 目 录 1. 高 空 作 业 平 台 行 业 龙 头... 5 1.1 公 司 发 展 轨 迹... 5 1.2 高 空 作 业 机 械... 6 1.3 公 司 高 空 作 业 平 台 产 品 体 系 完 备... 7 1.4 公 司 股 权 结

公 司 研 究 浙 江 鼎 力 (603338)2 目 录 1. 高 空 作 业 平 台 行 业 龙 头... 5 1.1 公 司 发 展 轨 迹... 5 1.2 高 空 作 业 机 械... 6 1.3 公 司 高 空 作 业 平 台 产 品 体 系 完 备... 7 1.4 公 司 股 权 结 [Table_MainInfo] 公 司 研 究 / 机 械 工 业 / 其 他 专 用 机 械 浙 江 鼎 力 (603338) 公 司 深 度 报 告 证 券 研 究 报 告 2015 年 12 月 23 日 [Table_InvestInfo] 投 资 评 级 增 持 首 次 覆 盖 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 57.20 12

More information

公 司 研 究 金 发 拉 比 (002762)2 目 录 1. 公 司 主 营 婴 幼 儿 穿 用 类 消 费 品... 5 1.1 多 品 牌 差 异 化 的 产 品 定 位... 6 1.2 渠 道 结 构 以 加 盟 与 自 营 相 结 合, 经 销 为 补 充... 7 2. 母 婴 市

公 司 研 究 金 发 拉 比 (002762)2 目 录 1. 公 司 主 营 婴 幼 儿 穿 用 类 消 费 品... 5 1.1 多 品 牌 差 异 化 的 产 品 定 位... 6 1.2 渠 道 结 构 以 加 盟 与 自 营 相 结 合, 经 销 为 补 充... 7 2. 母 婴 市 [Table_MainInfo] 公 司 研 究 / 纺 织 与 服 装 / 服 装 与 奢 侈 品 金 发 拉 比 (002762) 公 司 深 度 报 告 证 券 研 究 报 告 2015 年 12 月 02 日 [Table_InvestInfo] 投 资 评 级 增 持 首 次 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 79.01 12 月

More information

公 司 研 究 凌 云 股 份 (600480)2 目 录 投 资 要 点... 6 1. 借 力 资 本 市 场, 军 转 民 典 范 企 业... 7 1.1 高 榴 弹 厂 转 民 品 典 范 企 业... 7 1.2 汽 车 金 属 零 部 件 构 成 收 入 主 要 来 源... 7 1.

公 司 研 究 凌 云 股 份 (600480)2 目 录 投 资 要 点... 6 1. 借 力 资 本 市 场, 军 转 民 典 范 企 业... 7 1.1 高 榴 弹 厂 转 民 品 典 范 企 业... 7 1.2 汽 车 金 属 零 部 件 构 成 收 入 主 要 来 源... 7 1. [Table_MainInfo] 公 司 研 究 / 汽 车 与 零 配 件 / 汽 车 零 配 件 凌 云 股 份 (600480) 公 司 深 度 报 告 证 券 研 究 报 告 2015 年 07 月 14 日 [Table_InvestInfo] 投 资 评 级 买 入 首 次 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 24.20 07 月

More information

2 目 录 投 资 要 点... 6 1. 百 尺 竿 头 更 进 一 步 双 摄 像 头 远 景 广 阔... 7 1.1 双 摄 像 头 概 要... 7 1.2 双 摄 有 效 破 解 摄 像 头 瓶 颈... 8 1.3 双 摄 像 头 全 球 市 场 分 析... 11 2. 兵 马 未

2 目 录 投 资 要 点... 6 1. 百 尺 竿 头 更 进 一 步 双 摄 像 头 远 景 广 阔... 7 1.1 双 摄 像 头 概 要... 7 1.2 双 摄 有 效 破 解 摄 像 头 瓶 颈... 8 1.3 双 摄 像 头 全 球 市 场 分 析... 11 2. 兵 马 未 [Table_MainInfo] 行 业 研 究 / 信 息 设 备 / 电 子 元 器 件 行 业 深 度 报 告 证 券 研 究 报 告 2016 年 03 月 11 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 9822.75 8322.81 6822.87 5322.92 3822.98 电 子 元 器 件 2323.04

More information

2 目 录 投 资 要 点... 5 行 业 篇... 6 1. 互 联 网 汽 车 专 题 报 告 系 列... 6 2. 自 动 驾 驶 : 梦 想 照 进 现 实... 6 2.1 自 动 驾 驶 : 解 放 双 手, 智 驭 汽 车... 6 2.2 自 动 驾 驶 : 进 化 之 路...

2 目 录 投 资 要 点... 5 行 业 篇... 6 1. 互 联 网 汽 车 专 题 报 告 系 列... 6 2. 自 动 驾 驶 : 梦 想 照 进 现 实... 6 2.1 自 动 驾 驶 : 解 放 双 手, 智 驭 汽 车... 6 2.2 自 动 驾 驶 : 进 化 之 路... [Table_MainInfo] 行 业 研 究 / 汽 车 与 零 配 件 行 业 深 度 报 告 证 券 研 究 报 告 215 年 5 月 22 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 121.29% 97.4% 72.78% 48.52% 24.26% 汽 车 与 零 配 件.% 214/5 214/8 214/11

More information

2 1. 一 周 随 笔 上 期 周 报 我 们 阐 述 了 近 期 PPP 投 资 逻 辑 的 两 个 变 化 ( 中 报 完 善 自 下 而 上 逻 辑 配 臵 荒 推 升 估 值 溢 价 ), 并 重 点 提 示 PPP 滞 涨 股 的 投 资 机 会, 上 周 表 现 良 好 的 蒙 草 生

2 1. 一 周 随 笔 上 期 周 报 我 们 阐 述 了 近 期 PPP 投 资 逻 辑 的 两 个 变 化 ( 中 报 完 善 自 下 而 上 逻 辑 配 臵 荒 推 升 估 值 溢 价 ), 并 重 点 提 示 PPP 滞 涨 股 的 投 资 机 会, 上 周 表 现 良 好 的 蒙 草 生 [Table_MainInfo] 行 业 研 究 / 建 筑 工 程 行 业 专 题 报 告 证 券 研 究 报 告 2016 年 09 月 04 日 [Table_InvestInfo] 投 资 评 级 中 性 维 持 市 场 表 现 [Table_QuoteInfo] 4806.55 4309.85 3813.14 3316.44 2819.74 建 筑 工 程 2323.04 2015/9 2015/12

More information

公 司 研 究 大 杨 创 世 (600233)2 目 录 1. 随 着 圆 通 成 功 借 壳, 申 通 投 资 价 值 显 现... 5 2. 快 递 第 一 股, 花 落 圆 通... 5 2.1 业 务 量 跃 居 首 位 凸 显 强 劲 发 展 势 头... 5 2.2 阿 里 参 股 如

公 司 研 究 大 杨 创 世 (600233)2 目 录 1. 随 着 圆 通 成 功 借 壳, 申 通 投 资 价 值 显 现... 5 2. 快 递 第 一 股, 花 落 圆 通... 5 2.1 业 务 量 跃 居 首 位 凸 显 强 劲 发 展 势 头... 5 2.2 阿 里 参 股 如 [Table_MainInfo] 公 司 研 究 / 纺 织 与 服 装 / 服 装 与 奢 侈 品 大 杨 创 世 (600233) 公 司 研 究 报 告 证 券 研 究 报 告 2016 年 07 月 29 日 [Table_InvestInfo] 投 资 评 级 买 入 首 次 覆 盖 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 28.00 07

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化多因子组合业绩跟踪 (20161217) 2016.12.17 白糖期权与豆粕期权 ABC 2016.12.17 量化多因子组合业绩跟踪 (20161209) 2016.12.09 [Table_AuthorInfo] 金融工程快报点评 2016 年 12 月

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化多因子组合业绩跟踪 (20161224) 2016.12.24 量化多因子组合业绩跟踪 (20161217) 2016.12.17 白糖期权与豆粕期权 ABC 2016.12.17 [Table_AuthorInfo] 金融工程快报点评 2016 年 12 月

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化多因子组合业绩跟踪 (20161209) 2016.12.09 量化多因子组合业绩跟踪 (20161203) 2016.12.03 多因子模型跟踪报告(20161118) 2016.11.18 [Table_AuthorInfo] 金融工程快报点评 2016 年

More information

金融工程研究金融工程快报点评 2 目录 1. 组合收益表现 最新持仓组合... 5

金融工程研究金融工程快报点评 2 目录 1. 组合收益表现 最新持仓组合... 5 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 2016 年年报高送转股票预测 2016.10.12 多因子模型跟踪报告(20160927) 2016.09.27 崭新一页, 任重道远 银行间市场信用风险缓释工具试点业务规则 点评 2016.09.25 [Table_AuthorInfo] 金融工程快报点评 多因子模型跟踪报告

More information

金融工程研究金融工程月报 2 目录 1. 组合收益表现 组合最新持仓... 5

金融工程研究金融工程月报 2 目录 1. 组合收益表现 组合最新持仓... 5 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 海通量化多因子 211 组合 跟踪月报 (20161231) 2016.12.31 多因子模型跟踪月报(2016 年 7 月 ) 2016.07.01 多因子模型跟踪月报(2016 年 6 月 ) 2016.06.02 [Table_AuthorInfo] 分析师

More information

策 略 研 究 策 略 专 题 报 告 2 目 录 1. 回 顾 : 各 类 风 格, 各 领 风 骚... 4 2. 白 马 成 长, 曾 经 风 光... 5 3. 白 马 成 长, 王 者 归 来... 6

策 略 研 究 策 略 专 题 报 告 2 目 录 1. 回 顾 : 各 类 风 格, 各 领 风 骚... 4 2. 白 马 成 长, 曾 经 风 光... 5 3. 白 马 成 长, 王 者 归 来... 6 [Table_MainInfo] 策 略 研 究 证 券 研 究 报 告 [Table_Title] 相 关 研 究 [Table_ReportInfo] 养 殖 链 中, 精 选 鸡 和 饲 料 策 略 对 话 行 业 系 列 (2) 2016.05.16 白 酒, 香 在 哪 里? 策 略 对 话 行 业 系 列 (1) 2016.05.05 4 月 弱 于 历 史 均 值, 需 求 不 强 PMI

More information

<4D6963726F736F667420576F7264202D20BAE9B3C7B9C9B7DDA3A8363030353636A3A9A3BABCC3B4A8D2A9D2B5A3ACC6BDCCA8CEAACDF5203230313331323233>

<4D6963726F736F667420576F7264202D20BAE9B3C7B9C9B7DDA3A8363030353636A3A9A3BABCC3B4A8D2A9D2B5A3ACC6BDCCA8CEAACDF5203230313331323233> 买 入 首 次 上 市 公 司 研 究 报 告 洪 城 股 份 (600566) 证 券 研 究 报 告 医 药 与 健 康 护 理 - 中 药 2013 年 12 月 23 日 医 药 行 业 高 级 分 析 师 : 刘 宇 SAC 执 业 证 书 编 号 :S085051201000 济 川 药 业, 平 台 为 王 021-23219608 12 月 20 日 收 盘 价 17.09 元,6

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合编制说明 本期全市场多因子组合表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化多因子组合业绩跟踪 (20161230) 2016.12.30 量化多因子组合业绩跟踪 (20161224) 2016.12.24 量化多因子组合业绩跟踪 (20161217) 2016.12.17 [Table_AuthorInfo] 金融工程快报点评 2017

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化多因子组合业绩跟踪 (20161230) 2016.12.30 量化多因子组合业绩跟踪 (20161224) 2016.12.24 量化多因子组合业绩跟踪 (20161217) 2016.12.17 [Table_AuthorInfo] 金融工程快报点评 2017

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 海通量化多因子组合 1.0 业绩跟踪 (20170210) 2017.02.10 海通量化多因子组合 1.0 业绩跟踪 (20170203) 2017.02.03 海通量化多因子组合 1.0 业绩跟踪 (20170120) 2017.01.20 [Table_AuthorInfo]

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 海通量化多因子组合 1.0 业绩跟踪 (20170120) 2017.01.20 海通量化多因子组合 1.0 业绩跟踪 (20170115) 2017.01.15 海通量化多因子组合 1.0 业绩跟踪 (20170108) 2017.01.08 [Table_AuthorInfo]

More information

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6

金融工程研究金融工程快报点评 2 目录 1. 全市场多因子组合 1.0 编制说明 本期全市场多因子组合 1.0 表现回顾 风险提示... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 海通量化多因子组合 1.0 业绩跟踪 (20170203) 2017.02.03 海通量化多因子组合 1.0 业绩跟踪 (20170120) 2017.01.20 海通量化多因子组合 1.0 业绩跟踪 (20170115) 2017.01.15 [Table_AuthorInfo]

More information

金融工程研究金融工程月报 2 目录 1. 组合收益表现 组合最新持仓... 5

金融工程研究金融工程月报 2 目录 1. 组合收益表现 组合最新持仓... 5 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化多因子组合 1.0 跟踪月报 (20170630) 2017.06.30 量化多因子组合 1.0 跟踪月报 (20170531) 2017.05.31 量化多因子组合 1.0 跟踪月报 (20170331) 2017.03.31 [Table_AuthorInfo]

More information

2 目 录 1. 传 媒 板 块 股 票 领 先 滞 后 性 研 究... 5 2. 传 媒 板 块 股 票 弹 性 研 究... 8 2.1 广 告 营 销 板 块... 8 2.2 教 育 板 块... 8 2.3 内 容 板 块... 8 2.4 游 戏 板 块... 9 2.5 动 漫 板

2 目 录 1. 传 媒 板 块 股 票 领 先 滞 后 性 研 究... 5 2. 传 媒 板 块 股 票 弹 性 研 究... 8 2.1 广 告 营 销 板 块... 8 2.2 教 育 板 块... 8 2.3 内 容 板 块... 8 2.4 游 戏 板 块... 9 2.5 动 漫 板 [Table_MainInfo] 行 业 研 究 / 传 媒 行 业 专 题 报 告 证 券 研 究 报 告 2016 年 01 月 21 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 101.05% 77.42% 53.80% 30.18% 6.56% 传 媒 海 通 综 指 -17.07% 2015/1 2015/4

More information

金融工程研究金融工程快报点评 2 目录 投资要点 高送转预测模型 年年报高送转预测结果... 6

金融工程研究金融工程快报点评 2 目录 投资要点 高送转预测模型 年年报高送转预测结果... 6 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 多因子模型跟踪报告(20160927) 2016.09.27 崭新一页, 任重道远 银行间市场信用风险缓释工具试点业务规则 点评 2016.09.25 公开募集证券投资基金运作指引第 2 号 基金中基金指引 点评 2016.09.25 [Table_AuthorInfo]

More information

目录 金融工程研究金融工程月报 2 1. 组合收益表现 组合最新持仓 风险提示... 7

目录 金融工程研究金融工程月报 2 1. 组合收益表现 组合最新持仓 风险提示... 7 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化多因子组合 1.0 跟踪月报 (20180702) 2018.07.02 大类资产择时及行业观点月报 (2018.07) 2018.07.02 大类资产择时及行业观点月报 (2018.06) 2018.06.03 [Table_AuthorInfo] 金融工程月报

More information

每 日 早 报 最 新 研 究 与 资 讯 1 市 场 动 态 关 注 点 仍 在 国 内,9 月 先 反 弹 后 均 衡 从 昨 日 盘 面 看,QE3 对 A 股 市 场 的 提 振 并 没 有 持 续, 市 场 关 注 点 仍 在 国 内 : 首 先, 9 月 上 半 月 北 京 房 地 产

每 日 早 报 最 新 研 究 与 资 讯 1 市 场 动 态 关 注 点 仍 在 国 内,9 月 先 反 弹 后 均 衡 从 昨 日 盘 面 看,QE3 对 A 股 市 场 的 提 振 并 没 有 持 续, 市 场 关 注 点 仍 在 国 内 : 首 先, 9 月 上 半 月 北 京 房 地 产 每 日 早 报 证 券 研 究 报 告 最 新 研 究 与 资 讯 2012 年 9 月 18 日 海 通 综 指 分 时 走 势 2100 海 通 综 指 分 时 走 势 2070 2040 2010 1980 9:28 10:28 11:28 13:55 14: 资 料 来 源 : 海 通 证 券 研 究 所 海 通 风 格 指 数 名 称 日 涨 跌 % 周 涨 跌 % 年 涨 跌 % 海 通

More information

定 量 策 略 专 题 报 告 2 目 录 1. 高 送 转 股 票 的 特 点 高 送 转 预 测 模 型 模 型 预 测 的 实 证 分 析... 5

定 量 策 略 专 题 报 告 2 目 录 1. 高 送 转 股 票 的 特 点 高 送 转 预 测 模 型 模 型 预 测 的 实 证 分 析... 5 定 量 研 究 证 券 研 究 报 告 专 题 报 告 事 件 驱 动 策 略 之 七 2012 年 04 月 16 日 高 送 转 行 情 下 的 事 件 性 投 资 机 会 2011 年 高 送 转 命 中 率 已 达 90%, 期 待 后 续 公 告 表 现 高 送 转 是 指 大 比 例 送 红 股 或 大 比 例 以 资 本 公 积 金 转 增 股 本, 上 市 公 司 选 择 高 送 转

More information

wwwww2 每 日 早 报 最 新 研 究 与 资 讯 1 行 业 公 司 多 肽 药 物 行 业 深 度 报 告 : 繁 花 落 尽 春 归 去, 却 看 多 肽 药, 前 景 胜 春 朝 多 肽 行 业 是 个 新 兴 行 业, 产 品 广 泛 应 用 于 医 药 食 品 化 妆 品 等 领

wwwww2 每 日 早 报 最 新 研 究 与 资 讯 1 行 业 公 司 多 肽 药 物 行 业 深 度 报 告 : 繁 花 落 尽 春 归 去, 却 看 多 肽 药, 前 景 胜 春 朝 多 肽 行 业 是 个 新 兴 行 业, 产 品 广 泛 应 用 于 医 药 食 品 化 妆 品 等 领 wwwww1 每 日 早 报 证 券 研 究 报 告 最 新 研 究 与 资 讯 2012 年 7 月 12 日 海 通 综 指 分 时 走 势 2160 海 通 综 指 分 时 走 势 2130 2100 9:28 10:28 11:28 13:55 14: 资 料 来 源 : 海 通 证 券 研 究 所 海 通 风 格 指 数 名 称 日 涨 跌 % 周 涨 跌 % 年 涨 跌 % 海 通 大

More information

金融工程研究金融工程快报点评 2 目录 1. 富时中国 A50 指数 自由流通量筛选 流动性筛选 其他筛选因素 预测名单... 4

金融工程研究金融工程快报点评 2 目录 1. 富时中国 A50 指数 自由流通量筛选 流动性筛选 其他筛选因素 预测名单... 4 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 海通量化多因子组合 1.0 业绩跟踪 (20170217) 2017.02.17 中金所股指期货交易规则调整点评 2017.02.17 海通量化多因子组合 1.0 业绩跟踪 (20170211) 2017.02.11 [Table_AuthorInfo] 分析师 :

More information

上 市 公 司 新 股 研 究 报 告 瑞 尔 特 (002790)2 目 录 1. 瑞 尔 特 简 介... 5 1.1 公 司 简 介 : 专 注 于 卫 浴 配 件 产 品 的 研 发 生 产 销 售... 5 1.2 公 司 业 务 : 以 冲 水 组 件 为 代 表 的 卫 浴 配 件..

上 市 公 司 新 股 研 究 报 告 瑞 尔 特 (002790)2 目 录 1. 瑞 尔 特 简 介... 5 1.1 公 司 简 介 : 专 注 于 卫 浴 配 件 产 品 的 研 发 生 产 销 售... 5 1.2 公 司 业 务 : 以 冲 水 组 件 为 代 表 的 卫 浴 配 件.. [Table_MainInfo] 公 司 研 究 / 家 用 电 器 与 器 具 / 家 用 器 具 瑞 尔 特 (002790) 新 股 研 究 报 告 证 券 研 究 报 告 2016 年 02 月 26 日 [Table_InvestInfo] 合 理 价 值 区 间 : 16.05-21.40 元 瑞 尔 特 : 卫 浴 配 件 行 业 领 导 者 [Table_StockInfo] 发 行

More information

策略研究策略周报 2 目录 1. 本周产业资本净减持金额较上周减小 下周限售股解禁额较本周小幅减小 并购重组热点... 6

策略研究策略周报 2 目录 1. 本周产业资本净减持金额较上周减小 下周限售股解禁额较本周小幅减小 并购重组热点... 6 [Table_MainInfo] 策略研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 为什么市场年初没躁动起来? 2017.01.15 海通策略 尿素价格强势, 白电销量回升 行业数据周跟踪 - 20170115 2017.01.15 剖析港股结构 2017.01.14 [Table_AuthorInfo] 本周产业资本净减持较上周减小 [Table_Summary]

More information

2 目 录 1. 电 影 篇 月 进 口 片 势 头 强 劲, 好 莱 坞 大 片 优 势 凸 显 月 国 产 电 影 保 护 月, 动 画 喜 电 影 抢 占 市 场 电 视 篇 : 暑 档 重 磅 IP 来 袭 网

2 目 录 1. 电 影 篇 月 进 口 片 势 头 强 劲, 好 莱 坞 大 片 优 势 凸 显 月 国 产 电 影 保 护 月, 动 画 喜 电 影 抢 占 市 场 电 视 篇 : 暑 档 重 磅 IP 来 袭 网 [Table_MainInfo] 行 业 研 究 / 传 媒 行 业 专 题 报 告 证 券 研 究 报 告 2016 年 05 月 17 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 12569.00 10519.81 8470.62 6421.42 4372.23 传 媒 海 通 综 指 2323.04 2015/5

More information

行 业 研 究 多 肽 药 物 行 业 2 目 录 投 资 要 点... 6 1. 多 肽 类 药 物 概 述... 7 1.1 多 肽 概 念 及 其 用 途... 7 1.2 多 肽 药 物 种 类 丰 富... 7 1.3 多 肽 药 物 合 成 方 法 各 有 千 秋... 8 1.4 注

行 业 研 究 多 肽 药 物 行 业 2 目 录 投 资 要 点... 6 1. 多 肽 类 药 物 概 述... 7 1.1 多 肽 概 念 及 其 用 途... 7 1.2 多 肽 药 物 种 类 丰 富... 7 1.3 多 肽 药 物 合 成 方 法 各 有 千 秋... 8 1.4 注 增 持 首 次 多 肽 药 物 行 业 行 业 研 究 证 券 研 究 报 告 深 度 行 业 报 告 212 年 7 月 13 日 市 场 表 现 繁 花 落 尽 春 归 去, 却 看 多 肽 药, 前 景 胜 春 朝 多 肽 类 药 物 是 21 世 纪 重 要 的 预 防 诊 断 监 测 和 治 疗 药 物 多 肽 是 一 类 由 若 干 个 氨 基 酸 通 过 肽 键 连 接 而 成 的 化

More information

一周活动集锦 (2016/12/ /12/09) 传媒 / 电子 / 通信 / 计算机 <TMT 每周谈电话会议 1205> 传媒 钟奇 : 板块估值已低, 推荐体育与低 PEG 系统性风险打压板块, 但板块估值较低, 下跌弹性小, 具备相对优势 影视游戏推荐低 PEG 的个股帝龙文化

一周活动集锦 (2016/12/ /12/09) 传媒 / 电子 / 通信 / 计算机 <TMT 每周谈电话会议 1205> 传媒 钟奇 : 板块估值已低, 推荐体育与低 PEG 系统性风险打压板块, 但板块估值较低, 下跌弹性小, 具备相对优势 影视游戏推荐低 PEG 的个股帝龙文化 证券研究报告 2016 年 12 月 9 日 分析师一周活动集锦 (2016/12/03-2016/12/09) 汇编 深度探索 畅快交流 共创智慧 拒绝平庸 海通研究所出品 目录 传媒 / 电子 / 通信 / 计算机 ... 2 房地产 < 万科 11 月销售和经营情况电话会议 1205>... 3 活动日程安排 12 月 03 日 12 月 04 日 12 月

More information

公 司 研 究 嘉 事 堂 (002462)2 目 录 投 资 要 点... 4 1. 创 新 基 因 造 就 嘉 事 堂... 5 1.1 央 企 背 景, 民 企 作 风... 5 1.2 出 众 的 商 业 模 式 创 新 能 力 是 公 司 最 大 优 势 所 在... 6 2. 把 握 行

公 司 研 究 嘉 事 堂 (002462)2 目 录 投 资 要 点... 4 1. 创 新 基 因 造 就 嘉 事 堂... 5 1.1 央 企 背 景, 民 企 作 风... 5 1.2 出 众 的 商 业 模 式 创 新 能 力 是 公 司 最 大 优 势 所 在... 6 2. 把 握 行 [Table_MainInfo] 公 司 研 究 / 商 业 贸 易 / 专 营 零 售 嘉 事 堂 (002462) 公 司 深 度 报 告 证 券 研 究 报 告 2015 年 08 月 28 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 50.00 08 月 27 日 收 盘

More information

公 司 研 究 天 汽 模 (002510)2 目 录 投 资 要 点... 5 1. 在 手 订 单 充 沛,17 年 或 出 现 业 绩 拐 点... 6 1.1 公 司 发 展 历 程 简 述... 6 1.2 订 单 确 认 存 在 滞 后 效 应,17 年 有 望 迎 来 业 绩 拐 点.

公 司 研 究 天 汽 模 (002510)2 目 录 投 资 要 点... 5 1. 在 手 订 单 充 沛,17 年 或 出 现 业 绩 拐 点... 6 1.1 公 司 发 展 历 程 简 述... 6 1.2 订 单 确 认 存 在 滞 后 效 应,17 年 有 望 迎 来 业 绩 拐 点. [Table_MainInfo] 公 司 研 究 / 汽 车 与 零 配 件 / 汽 车 零 配 件 天 汽 模 (002510) 公 司 研 究 报 告 证 券 研 究 报 告 2016 年 07 月 27 日 [Table_InvestInfo] 投 资 评 级 买 入 首 次 覆 盖 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 10.00 07

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时及多因子跟踪周报 (2161225) 216.12.25 5ETF 大幅回落,iVIX 底部回升 216.12.19 股指期货周报 (216.12.12-216.12.16) 216.12.19 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

基金定投效果全揭秘:滚雪球的智慧.doc

基金定投效果全揭秘:滚雪球的智慧.doc 2012 7 18 2000 1 2012 6 35 4 SAC S0850511030001 021-23219450 Emailloujig@htsec.com SAC S0850512060002 021-23219774 Emailwy6254@htsec.com 2 1 1.1 1990 A 2 1 0 1000 2000 3000 4000 5000 6000 7000 1990 1991

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 5ETF 先降后升, 中国波指低位波动 217.4.3 市场极值监控周报(21742) 217.4.2 多因子跟踪周报 (217.3.17-217.3.24) 217.3.27 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报(217326) 217.3.26 市场极值监控周报(217326) 217.3.26 5ETF 震荡回升, 中国波指再创新低 217.3.25 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732 Email:fengjr@htsec.com

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时及多因子跟踪周报 (2161218) 216.12.18 量化择时及多因子跟踪周报 (2161211) 216.12.11 市场先降后升,PUT-CALL 小幅回落 216.12.11 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

策略研究策略月报 2 目录 1. 3 月产业资本净减持额较 2 月扩大 月处于全年解禁低潮期 月并购重组热点... 7

策略研究策略月报 2 目录 1. 3 月产业资本净减持额较 2 月扩大 月处于全年解禁低潮期 月并购重组热点... 7 [Table_MainInfo] 策略研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 美丽回忆 : 漂亮 50 那些事 -20170331 2017.03.31 3 月产业资本净减持额继续扩大 [Table_Summary] 投资要点 : 策略月报 2017 年 04 月 03 日 [Table_AuthorInfo] 分析师 : 荀玉根 Tel:(021)23219658

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报(21712) 217.1.2 5ETF 震荡回落,iVIX 持续回升 216.12.26 股指期货周报 (216.12.19-216.12.23) 216.12.26 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

公司研究 上海新阳 (300236)2 1. 上海新阳 国内半导体材料的领头羊 上海新阳半导体材料股份有限公司是一家专业从事半导体行业所需电子化学品的研发 生产和销售服务, 同时开发配套的专用设备, 致力于为客户提供化学材料 配套设备 应用工艺 现场服务一体化的整体解决方案的企业 图 1 公司理念营

公司研究 上海新阳 (300236)2 1. 上海新阳 国内半导体材料的领头羊 上海新阳半导体材料股份有限公司是一家专业从事半导体行业所需电子化学品的研发 生产和销售服务, 同时开发配套的专用设备, 致力于为客户提供化学材料 配套设备 应用工艺 现场服务一体化的整体解决方案的企业 图 1 公司理念营 [Table_MainInfo] 公司研究 / 化工 / 基础化工材料制品 上海新阳 (300236) 公司跟踪报告 证券研究报告 2016 年 11 月 23 日 [Table_InvestInfo] 投资评级买入维持 股票数据 6 [Table_StockInfo] 个月内目标价 ( 元 ) 51.84 11 月 23 日收盘价 ( 元 ) 44.18 52 周股价波动 ( 元 ) 22.00-57.33

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 5ETF 先降后升, 中国波指低位波动 217.4.22 股指期货周报 (217.4.1-217.4.14) 217.4.16 量化择时周报(217416) 217.4.16 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报(21725) 217.2.5 多因子跟踪周报 (217.1.2-217.2.3) 217.2.5 5ETF 节后回落超 1%,IVIX 大幅回升 217.2.5 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 多因子跟踪周报 (217.5.8-217.5.12) 217.5.15 股指期货周报 (217.5.8-217.5.12) 217.5.14 量化择时周报(217514) 217.5.14 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

东吴证券研究所

东吴证券研究所 证券研究报告 行业研究 食品饮料行业 食品饮料周报 + ( ) : 3.8% 1% 1.7% 17 : 1 1 14 217 4 16 S651592 mahb@dwzq.com.cn 21-6199762 1 688716 2 217413 17Q1 2 + 15%+18%+ 17Q1 + 217411 15%+35%+ 3 6872 2 + +3% 1 217411 2 4 6327 + 217411

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

策略研究策略专题报告 2 目录 1. 港股投资者结构 : 机构主导 港股公募基金 : 爱蓝筹 港股散户少换手低... 7

策略研究策略专题报告 2 目录 1. 港股投资者结构 : 机构主导 港股公募基金 : 爱蓝筹 港股散户少换手低... 7 [Table_MainInfo] 策略研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 基金重仓股后市表现如何? 2017.08.02 金融 : 银行明显低估 ----A 股估值国际比较系列 3 2017.07.25 [Table_AuthorInfo] 港股玩家都有谁 : 投资者结构 筹码分布 [Table_Summary] 投资要点 : 策略专题报告

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时及多因子跟踪周报 (216123) 216.1.23 量化择时及多因子跟踪周报 (216116) 216.1.16 节前市场先降后升,VIX 指数再创新低 216.1.1 [Table_AuthorInfo] 分析师 : 吴先兴 Tel:(21)23219449

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 2016-01-01 2016-01-28 2016-03-02 2016-03-29 2016-04-26 2016-05-24 2016-06-22 2016-07-19 2016-08-11 2016-09-03 2016-09-22 2016-10-20 2016-11-14 2016-12-09 2017-01-06 2017-02-09 2017-03-08 2017-04-04 2017-05-02

More information

2 事 件 : 电 动 汽 车 充 电 基 础 设 施 发 展 指 南 (2015-2020 年 ) 正 式 外 发 昨 天, 发 展 指 南 正 式 外 发, 提 出 我 国 充 电 设 施 建 设 整 体 目 标, 并 对 各 领 域 各 区 域 建 设 数 量 服 务 半 径 配 套 政 策

2 事 件 : 电 动 汽 车 充 电 基 础 设 施 发 展 指 南 (2015-2020 年 ) 正 式 外 发 昨 天, 发 展 指 南 正 式 外 发, 提 出 我 国 充 电 设 施 建 设 整 体 目 标, 并 对 各 领 域 各 区 域 建 设 数 量 服 务 半 径 配 套 政 策 [Table_MainInfo] 行 业 研 究 / 机 械 工 业 / 电 气 设 备 行 业 跟 踪 报 告 证 券 研 究 报 告 2015 年 11 月 18 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 12502.74 10442.12 8381.49 6320.87 4260.24 电 气 设 备 2199.61

More information

公 司 研 究 中 国 恒 大 (3333.HK)2 事 件 : 公 司 公 告 2016 年 半 年 报 情 况 点 评 : 1 公 司 营 收 稳 健 增 长, 现 金 充 裕 1) 营 收 稳 健 增 长, 永 续 债 影 响 归 母 利 润 公 司 2016 年 上 半 年 营 业 收 入

公 司 研 究 中 国 恒 大 (3333.HK)2 事 件 : 公 司 公 告 2016 年 半 年 报 情 况 点 评 : 1 公 司 营 收 稳 健 增 长, 现 金 充 裕 1) 营 收 稳 健 增 长, 永 续 债 影 响 归 母 利 润 公 司 2016 年 上 半 年 营 业 收 入 [Table_MainInfo] [Table_InvestInfo] 投 资 评 级 买 入 维 持 公 司 研 究 / 房 地 产 / 房 地 产 中 国 恒 大 (3333.HK) 半 年 度 报 告 销 售 剑 指 三 千, 恒 大 系 逐 步 构 建 证 券 研 究 报 告 2016 年 09 月 06 日 股 票 数 据 [Table_StockInfo] 6 个 月 内 目 标 价 (

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 多因子跟踪周报 (217.6.2-217.6.9) 217.6.12 股指期货周报 (217.6.5-217.6.9) 217.6.11 量化择时周报(217611) 217.6.11 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options)

月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) 大连期货市场月报 DALIAN FUTURES MARKET MONTHLY REPORT 市场提要 本月要事 品种运行与价格 交易数据 产业资讯 美国农业部数据 主办 : 大连商品交易所 218 年第 5 期总第 15 期 5 内部资料 妥善保存 月报大连期货市场 MONTHLY REPORT 期货 (Futures) 期权 (Options) CONTENTS 目录 5 月市场提要 1 本月要事

More information

01

01 Zebra 技术白皮书 零售业中的可跟踪性 降低 介质成本, 实现最佳价值 概要 简介 我想要的商品在哪里呢? 物品级标签带来了巨大优势 全面的库存管理 100% 2 Zebra (EAS)/ 实际结果 2009 1 27% 21% 53 2 209 提升顾客体验, 提高销售业绩 3 150 100 RF 1. : Bloomingdale 2009 2. 3. ABI Research 2009

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报(2171224) 217.12.24 5ETF 大幅回升,PUT-CALL 震荡回落 217.12.24 市场极值监控周报 2171224 217.12.24 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

金融工程研究金融工程周报 2 目录 1. 华夏上证 5ETF 期权 成交 持仓概况 PUT-CALL 比率 华夏上证 5ETF VIX 指数以及 Buy-Write 指数 隐含波动率 期权套利机会

金融工程研究金融工程周报 2 目录 1. 华夏上证 5ETF 期权 成交 持仓概况 PUT-CALL 比率 华夏上证 5ETF VIX 指数以及 Buy-Write 指数 隐含波动率 期权套利机会 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时及多因子跟踪周报 (216116) 216.11.6 股指期货周报 (216.1.24-216.1.28) 216.1.31 标的先升后降,VIX 指数底部回升 216.1.31 [Table_AuthorInfo] 分析师 : 张欣慰 Tel:(21)2321937

More information

公 司 研 究 骅 威 股 份 (002502)2 表 1 2016 年 电 视 剧 计 划 序 号 剧 名 预 计 开 机 时 间 拍 摄 或 制 作 进 度 1 放 弃 我, 抓 紧 我 2015 年 12 月 18 日 拍 摄 中 2 那 片 星 空 那 片 海 2016 年 4 月 筹 备

公 司 研 究 骅 威 股 份 (002502)2 表 1 2016 年 电 视 剧 计 划 序 号 剧 名 预 计 开 机 时 间 拍 摄 或 制 作 进 度 1 放 弃 我, 抓 紧 我 2015 年 12 月 18 日 拍 摄 中 2 那 片 星 空 那 片 海 2016 年 4 月 筹 备 [Table_MainInfo] 公 司 研 究 / 家 用 电 器 与 器 具 / 家 用 器 具 骅 威 股 份 (002502) 公 司 研 究 报 告 证 券 研 究 报 告 2016 年 04 月 21 日 [Table_InvestInfo] 投 资 评 级 增 持 首 次 股 票 数 据 6 [Table_StockInfo] 个 月 内 目 标 价 ( 元 ) 30.10 04 月

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

公 司 研 究 南 京 高 科 (600064)2 事 件 : 公 司 以 自 有 资 金 5 亿 元 与 达 孜 县 中 钰 健 康 创 业 投 资 合 伙 企 业 ( 有 限 合 伙 ) 共 同 发 起 设 立 中 钰 高 科 健 康 产 业 并 购 投 资 基 金 ( 有 限 合 伙 ) 一

公 司 研 究 南 京 高 科 (600064)2 事 件 : 公 司 以 自 有 资 金 5 亿 元 与 达 孜 县 中 钰 健 康 创 业 投 资 合 伙 企 业 ( 有 限 合 伙 ) 共 同 发 起 设 立 中 钰 高 科 健 康 产 业 并 购 投 资 基 金 ( 有 限 合 伙 ) 一 [Table_MainInfo] 公 司 研 究 / 房 地 产 / 开 发 区 南 京 高 科 (600064) 公 司 公 告 点 评 证 券 研 究 报 告 2015 年 06 月 02 日 [Table_InvestInfo] 投 资 评 级 买 入 维 持 股 票 数 据 [Table_StockInfo] 6 个 月 内 目 标 价 ( 元 ) 42.75 06 月 01 日 收 盘 价

More information

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月 Tabl e_title Tabl e_baseinfo 2015 年 12 月 27 日 七星电子 (002371.SZ) 半导体究竟什么最赚钱 公告 :1 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权 发行股份价格为 17.49 元 / 股 2 上市公司拟通过向国家集成电路基 金 京国瑞基金和芯动能基金非公开发行股份募集配套资金 点评 : 我们在 14 年年中推出行业深度报告

More information

中国与欧洲关系 年

中国与欧洲关系 年 中国与欧洲关系 年 周 弘 本文全面回顾了中国与欧洲共同体 欧洲联盟及其成员国 年至 年间政治和经济关系的发展历程 分析了当前中欧关系取得的进展和存在的问题 作者认为 年来中国与欧洲关系的发展变化见证并体现了世界格局的演变以及中国和欧洲这两大很不相同的世界力量自身的发展变化 中欧之间不断深入和拓展的交往使双方都从中获益 而这种交往所创造出来的体制机制和方式方法也堪为人先 中欧关系能否在第二个 年中顺利发展

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

行 业 研 究 有 色 金 属 行 业 2 1. 五 矿 与 中 冶 召 开 重 组 大 会 资 产 超 7000 亿 6 月 2 日 下 午, 中 国 五 矿 集 团 公 司 与 中 国 冶 金 科 工 集 团 有 限 公 司 重 组 大 会 在 北 京 召 开 这 是 继 2015 年 12 月

行 业 研 究 有 色 金 属 行 业 2 1. 五 矿 与 中 冶 召 开 重 组 大 会 资 产 超 7000 亿 6 月 2 日 下 午, 中 国 五 矿 集 团 公 司 与 中 国 冶 金 科 工 集 团 有 限 公 司 重 组 大 会 在 北 京 召 开 这 是 继 2015 年 12 月 [Table_MainInfo] 行 业 研 究 / 有 色 金 属 行 业 信 息 点 评 证 券 研 究 报 告 2016 年 06 月 03 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 7341.83 6338.07 5334.31 4330.55 3326.80 有 色 金 属 2323.04 2015/5 2015/8

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报(217625) 217.6.25 多因子跟踪周报 (217.6.16-217.6.23) 217.6.25 市场极值监控周报 217625 217.6.25 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732 Email:fengjr@htsec.com

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报 (217115) 217.11.5 5ETF 回落.77%, 中国波指低位波动 217.11.5 多因子跟踪周报 (217.1.27-217.11.3) 217.11.5 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排 机械原理包装工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理包装工程 2016 上课 16 4 9-12 A-202 汪成龙 机械原理 2015 上课 16 4 9-12 A-202 汪成龙 机械原理机械电子工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理 机械原理 机械原理 机械原理 电路原理 电路原理 电路原理 2015 上课 16 4 9-12 A-202

More information

浙江大学学报 人文社会科学版 64 图2 第 43 卷 2005 年 1 月至 2010 年 12 月北京市及其各城区实际住房价格 房价的增长速度远远超过租金增长速度 表明 2005 2010 年北京房价的上涨有脱离经济基本 面的迹象 2005 2010 年 实际租金增长平缓 各区实际租金的增长率在 32 68 之间 北京市 实际租金的增长率为 39 相比之下 实际住房价格上涨非常明显 各区实际房价的增长率在

More information

nm 8 nm nm nm nm 8 nm 8 IC IC

nm 8 nm nm nm nm 8 nm 8 IC IC 100 100nm 8 nm 8 130 130-100 100nm nm 6 147 147 135 135 6 103 103 54 54 9 45 45 7 100 100nm 8 nm 8 IC IC 5 60 60 40 40 3500 3500 5 1600 1600mm mm X/Y/Z X/Y/Z 2200/400/400 2200/400/400mm mm 15 15m/min m/min

More information

金融工程研究金融工程周报 2 目录 1. 华夏上证 5ETF 期权 成交 持仓概况 PUT-CALL 比率 华夏上证 5ETF VIX 指数以及 Buy-Write 指数 隐含波动率 期权套利机会

金融工程研究金融工程周报 2 目录 1. 华夏上证 5ETF 期权 成交 持仓概况 PUT-CALL 比率 华夏上证 5ETF VIX 指数以及 Buy-Write 指数 隐含波动率 期权套利机会 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时及多因子跟踪周报 (216123) 216.1.23 量化择时及多因子跟踪周报 (216116) 216.1.16 节前市场先降后升,VIX 指数再创新低 216.1.1 [Table_AuthorInfo] 分析师 : 张欣慰 Tel:(21)2321937

More information

金融工程研究金融工程周报 2 目录 1. 华夏上证 5ETF 期权 成交 持仓概况 PUT-CALL 比率 华夏上证 5ETF VIX 指数以及 Buy-Write 指数 隐含波动率 期权套利机会

金融工程研究金融工程周报 2 目录 1. 华夏上证 5ETF 期权 成交 持仓概况 PUT-CALL 比率 华夏上证 5ETF VIX 指数以及 Buy-Write 指数 隐含波动率 期权套利机会 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时及多因子跟踪周报 (2161113) 216.11.13 股指期货周报 (216.1.31-216.11.4) 216.11.7 PUT-CALL 继续回落,VIX 指数底部回升 216.11.7 [Table_AuthorInfo] 分析师 : 张欣慰 Tel:(21)2321937

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

2 目 录 1. 我 国 职 业 教 育 背 景 : 高 需 求 带 动 产 教 结 合... 6 1.1 职 业 教 育 市 场 呈 刚 性 需 求, 进 入 高 速 增 长 的 成 熟 期 阶 段... 6 1.2 深 挖 职 业 教 育 市 场 潜 力, 企 业 加 速 在 线 职 业 教 育

2 目 录 1. 我 国 职 业 教 育 背 景 : 高 需 求 带 动 产 教 结 合... 6 1.1 职 业 教 育 市 场 呈 刚 性 需 求, 进 入 高 速 增 长 的 成 熟 期 阶 段... 6 1.2 深 挖 职 业 教 育 市 场 潜 力, 企 业 加 速 在 线 职 业 教 育 [Table_MainInfo] 行 业 研 究 / 传 媒 行 业 深 度 报 告 证 券 研 究 报 告 2016 年 02 月 17 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 82.36% 60.82% 39.28% 17.74% -3.80% 传 媒 海 通 综 指 -25.34% 2015/2 2015/5

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报 (2171112) 217.11.12 多因子跟踪周报 (217.11.3-217.11.1) 217.11.12 市场极值监控周报 2171112 217.11.12 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732

More information

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

2 目 录 1. 利 润 率 回 升, 业 绩 扭 亏 为 盈... 5 2. 资 产 周 转 率 下 降, 营 运 能 力 有 待 提 升... 7 3. 负 债 率 上 升, 偿 债 能 力 下 滑... 9 4. 资 产 收 益 率 回 升... 10 5. 板 块 估 值 水 平... 12

2 目 录 1. 利 润 率 回 升, 业 绩 扭 亏 为 盈... 5 2. 资 产 周 转 率 下 降, 营 运 能 力 有 待 提 升... 7 3. 负 债 率 上 升, 偿 债 能 力 下 滑... 9 4. 资 产 收 益 率 回 升... 10 5. 板 块 估 值 水 平... 12 [Table_MainInfo] 行 业 研 究 / 钢 铁 行 业 半 年 报 证 券 研 究 报 告 2016 年 09 月 12 日 [Table_InvestInfo] 投 资 评 级 增 持 维 持 市 场 表 现 [Table_QuoteInfo] 11.25% 2.56% -6.13% -14.81% -23.50% 钢 铁 海 通 综 指 -32.18% 2015/9 2015/12

More information

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 ( 2018-02-26 TMT 芯片国产化大涨 : 政府大基金投入终 结果, 国产替代趋势不可逆 核心提示 芯片国产化指数大涨 : A 股芯片国产化概念板块 (884160.WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 (300474.SZ) 国科微(300672.SZ) 上海新阳 (300236.SZ) 北方华创(002371.SZ) 江丰电子 (300666.SZ)

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7 / / 证券研究报告 七星电子 (002371) 投资价值分析报告 收购北方微电子, 打造国产半导体设备龙头 公司研究 电子行业 2016 年 3 月 21 日买入 ( 首次 ) 投资要点 大基金 助力收购优秀半导体设备公司北方微电子 七星电子是 A 股唯一国产半导体设备公司, 是国内立式氧化炉和晶圆清洗机龙头 公司拟增发 9.24 亿元股票 以 2016 年 15 倍 PE 从母公司北京电控收购国内硅刻蚀

More information

untitled

untitled ... 1... 1... 3... 4... 6... 6... 6... 8... 8... 9... 10... 12... 12... 12... 14... 14... 15... 15... 15... 16... 18... 18... 18... 20... 22... 23 I ... 25... 26... 27... 28... 30... 30... 31... 33...

More information

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数

金融工程研究金融工程周报 2 目录 1. 期货市场回顾 沪深 3 股指期货 上证 5 股指期货 中证 5 股指期货 现货市场回顾 沪深 3 指数 上证 5 指数 中证 5 指数 [Table_MainInfo] 金融工程研究证券研究报告 [Table_Title] 相关研究 [Table_ReportInfo] 量化择时周报(21786) 217.8.6 市场极值监控周报 21786 217.8.6 5ETF 回落.34%,PUT-CALL 宽幅震荡 217.8.5 [Table_AuthorInfo] 分析师 : 冯佳睿 Tel:(21)23219732 Email:fengjr@htsec.com

More information