简单的组合逻辑设计

Size: px
Start display at page:

Download "简单的组合逻辑设计"

Transcription

1 设计练习进阶 前言 : 在前面九章学习的基础上, 通过本章十个阶段的练习, 一定能逐步掌握 Verilog HDL 设计的要点 我们可以先理解样板模块中每一条语句的作用, 然后对样板模块进行综合前和综合后仿真, 再独立完成每一阶段规定的练习 当十个阶段的练习做完后, 便可以开始设计一些简单的逻辑电路和系统 很快我们就能过渡到设计相当复杂的数字逻辑系统 当然, 复杂的数字逻辑系统的设计和验证, 不但需要系统结构的知识和经验的积累, 还需要了解更多的语法现象和掌握高级的 Verilog HDL 系统任务, 以及与 C 语言模块接口的方法 ( 即 PLI), 这些已超出的本书的范围 有兴趣的同学可以阅读 Verilog 语法参考资料和有关文献, 自己学习, 我们将在下一本书中介绍 Verilog 较高级的用法 练习一. 简单的组合逻辑设计 目的 : 掌握基本组合逻辑电路的实现方法 这是一个可综合的数据比较器, 很容易看出它的功能是比较数据 a 与数据 b, 如果两个 数据相同, 则给出结果 1, 否则给出结果 0 在 Verilog HDL 中, 描述组合逻辑时常使用 assign 结构 注意 equal=(a==b)?1:0, 这是一种在组合逻辑实现分支判断时常使用的格式 模块源代码 : // compare.v module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; //a 等于 b 时,equal 输出为 1;a 不等于 b 时, //equal 输出为 0 module 测试模块用于检测模块设计得正确与否, 它给出模块的输入信号, 观察模块的内部信号 和输出信号, 如果发现结果与预期的有所偏差, 则要对设计模块进行修改 测试模块源代码 : `timescale 1ns/1ns // 定义时间单位 `include "./compare.v" // 包含模块文件 在有的仿真调试环境中并不需要此语句 // 而需要从调试环境的菜单中键入有关模块文件的路径和名称 module comparetest; reg a,b; wire equal; initial //initial 常用于仿真时信号的给出 266

2 a=0; b=0; #100 a=0; b=1; #100 a=1; b=1; #100 a=1; b=0; #100 $stop; // 系统任务, 暂停仿真以便观察仿真波形 compare compare1(.equal(equal),.a(a),.b(b)); // 调用模块 module 仿真波形 ( 部分 ): 练习 : 设计一个字节 (8 位 ) 比较器 要求 : 比较两个字节的大小, 如 a[7:0] 大于 b[7:0] 输出高电平, 否则输出低电平, 改写测试模型, 使其能进行比较全面的测试 练习二. 简单时序逻辑电路的设计 目的 : 掌握基本时序逻辑电路的实现 在 Verilog HDL 中, 相对于组合逻辑电路, 时序逻辑电路也有规定的表述方式 在可综合的 Verilog HDL 模型, 我们通常使用 always clk) clk) 的结构来表述时序逻辑 下面是一个 1/2 分频器的可综合模型 // half_clk.v: module half_clk(reset,clk_in,clk_out); input clk_in,reset; output clk_out; reg clk_out; clk_in) 267

3 if(!reset) clk_out=0; else clk_out=~clk_out; module 在 always 块中, 被赋值的信号都必须定义为 reg 型, 这是由时序逻辑电路的特点所决定的 对于 reg 型数据, 如果未对它进行赋值, 仿真工具会认为它是不定态 为了能正确地观察到仿真结果, 在可综合风格的模块中我们通常定义一个复位信号 reset, 当 reset 为低电平时, 对电路中的寄存器进行复位 测试模块的源代码 : // clk_top.v `timescale 1ns/100ps `define clk_cycle 50 module clk_top.v reg clk,reset; wire clk_out; always #`clk_cycle clk = ~clk; initial clk = 0; reset = 1; #100 reset = 0; #100 reset = 1; #10000 $stop; half_clk half_clk(.reset(reset),.clk_in(clk),.clk_out(clk_out)); module 仿真波形 : 268

4 练习 : 依然作 clk_in 的二分频 clk_out, 要求输出与上例的输出正好反相 编写测试模块, 给出仿真波形 练习三. 利用条件语句实现较复杂的时序逻辑电路 目的 : 掌握条件语句在 Verilog HDL 中的使用 与常用的高级程序语言一样, 为了描述较为复杂的时序关系,Verilog HDL 提供了条件语句供分支判断时使用 在可综合风格的 Verilog HDL 模型中常用的条件语句有 if else 和 case case 两种结构, 用法和 C 程序语言中类似 两者相较,if else 用于不很复杂的分支关系, 实际编写可综合风格的模块 特别是用状态机构成的模块时, 更常用的是 case case 风格的代码 这一节我们给的是有关 if else 的范例, 有关 case case 结构的代码已后会经常用到 下面给出的范例也是一个可综合风格的分频器, 是将 10M 的时钟分频为 500K 的时钟 基本原理与 1/2 分频器是一样的, 但是需要定义一个计数器, 以便准确获得 1/20 分频 模块源代码 : // fdivision.v module fdivision(reset,f10m,f500k); input F10M,RESET; output F500K; reg F500K; reg [7:0]j; F10M) if(!reset) // 低电平复位 F500K <= 0; j <= 0; else if(j==19) // 对计数器进行判断, 以确定 F500K 信号是否反转 j <= 0; F500K <= ~F500K; else j <= j+1; module 269

5 测试模块源代码 : // fdivision_top.v `timescale 1ns/100ps `define clk_cycle 50 module division_top; reg F10M_clk,RESET; wire F500K_clk; always #`clk_cycle F10M_clk = ~ F10M_clk; initial RESET=1; F10M=0; #100 RESET=0; #100 RESET=1; #10000 $stop; fdivision fdivision (.RESET(RESET),.F10M(F10M_clk),.F500K(F500K_clk)); module 仿真波形 : 练习 : 利用 10M 的时钟, 设计一个单周期形状如下的周期波形 20μs 10μs 20μs 0 T 练习四. 设计时序逻辑时采用阻塞赋值与非阻塞赋值的区别 270

6 目的 :1. 明确掌握阻塞赋值与非阻塞赋值的概念和区别 ; 2. 了解阻塞赋值的使用情况 阻塞赋值与非阻塞赋值, 在教材中我们已经了解了它们之间在语法上的区别以及综合后所得到的电路结构上的区别 在 always 块中, 阻塞赋值可以理解为赋值语句是顺序执行的, 而非阻塞赋值可以理解为赋值语句是并发执行的 实际的时序逻辑设计中, 一般的情况下非阻塞赋值语句被更多地使用, 有时为了在同一周期实现相互关联的操作, 也使用了阻塞赋值语句 ( 注意 : 在实现组合逻辑的 assign 结构中, 无一例外地都必须采用阻塞赋值语句 下例通过分别采用阻塞赋值语句和非阻塞赋值语句的两个看上去非常相似的两个模块 blocking.v 和 non_blocking.v 来阐明两者之间的区别 模块源代码 : // blocking.v module blocking(clk,a,b,c); output [3:0] b,c; input [3:0] a; input clk; reg [3:0] b,c; clk) b = a; c = b; $display("blocking: a = %d, b = %d, c = %d.",a,b,c); module // non_blocking.v module non_blocking(clk,a,b,c); output [3:0] b,c; input [3:0] a; input clk; reg [3:0] b,c; clk) b <= a; c <= b; $display("non_blocking: a = %d, b = %d, c = %d.",a,b,c); 271

7 module 测试模块源代码 : // comparetop.v `timescale 1ns/100ps `include "./blocking.v" `include "./non_blocking.v" module comparetop; wire [3:0] b1,c1,b2,c2; reg [3:0] a; reg clk; initial clk = 0; forever #50 clk = ~clk; initial a = 4'h3; $display(" "); # 100 a = 4'h7; $display(" "); # 100 a = 4'hf; $display(" "); # 100 a = 4'ha; $display(" "); # 100 a = 4'h2; $display(" "); # 100 $display(" "); $stop; non_blocking non_blocking(clk,a,b2,c2); blocking blocking(clk,a,b1,c1); module 272

8 仿真波形 ( 部分 ): 思考 : 在 blocking 模块中按如下写法, 仿真与综合的结果会有什么样的变化? 作出仿真波形, 分析综合结果 1. clk) c = b; b = a; 2. clk) b=a; clk) c=b; 练习五. 用 always 块实现较复杂的组合逻辑电路 目的 : 1. 掌握用 always 实现组合逻辑电路的方法 ; 2. 了解 assign 与 always 两种组合逻辑电路实现方法之间的区别 仅使用 assign 结构来实现组合逻辑电路, 在设计中会发现很多地方会显得冗长且效率低下 而适当地采用 always 来设计组合逻辑, 往往会更具实效 已进行的范例和练习中, 我们仅在实现时序逻辑电路时使用 always 块 从现在开始, 我们对它的看法要稍稍改变 下面是一个简单的指令译码电路的设计示例 该电路通过对指令的判断, 对输入数据执行相应的操作, 包括加 减 与 或和求反, 并且无论是指令作用的数据还是指令本身发生变化, 结果都要作出及时的反应 显然, 这是一个较为复杂的组合逻辑电路, 如果采用 assign 语句, 表达起来非常复杂 示例中使用了电平敏感的 always 块, 后的括号内电平列表中的任何一个电平发生变化,( 与时序逻辑不同, 后的括号内没有沿敏感关键词, 如 posedge 或 negedge) 就能触发 always 块的动作, 并且运用了 case 结构来进行分支判断, 不但设计思想得到直观的体现, 而且代码看起来非常整齐 便于理解 // alu.v `define plus 3'd0 `define minus 3'd1 `define band 3'd2 `define bor 3'd3 `define unegate 3'd4 module alu(out,opcode,a,b); 273

9 output[7:0] out; reg[7:0] out; input[2:0] opcode; input[7:0] a,b; // 操作数 or a or b) // 电平敏感的 always 块 case(opcode) `plus: out = a+b; // 加操作 `minus: out = a-b; // 减操作 `band: out = a&b; // 求与 `bor: out = a b; // 求或 `unegate: out=~a; // 求反 default: out=8'hx;// 未收到指令时, 输出任意态 case module 同一组合逻辑电路分别用 always 块和连续赋值语句 assign 描述时, 代码的形式大相径庭, 但是在 always 中适当运用 default( 在 case 结构中 ) 和 else( 在 if else 结构中 ), 通常可以综合为纯组合逻辑, 尽管被赋值的变量一定要定义为 reg 型 不过, 如果不使用 default 或 else 对缺省项进行说明, 则易生成意想不到的锁存器, 这一点一定要加以注意 指令译码器的测试模块源代码 : // alu_top.v `timescale 1ns/1ns `include "./alu.v" module alutest; wire[7:0] out; reg[7:0] a,b; reg[2:0] opcode; parameter times=5; initial a={$random}%256; //Give a radom number blongs to [0,255]. b={$random}%256; //Give a radom number blongs to [0,255]. opcode=3'h0; repeat(times) #100 a={$random}%256; //Give a radom number. b={$random}%256; //Give a radom number. opcode=opcode+1; 274

10 #100 $stop; alu alu1(out,opcode,a,b); module 仿真波形 ( 部分 ): 练习 : 运用 always 块设计一个八路数据选择器 要求 : 每路输入数据与输出数据均为 4 位 2 进制数, 当选择开关 ( 至少 3 位 ) 或输入数据发生变化时, 输出数据也相应地变化 练习六. 在 Verilog HDL 中使用函数 目的 : 掌握函数在模块设计中的使用 与一般的程序设计语言一样,Veirlog HDL 也可使用函数以适应对不同变量采取同一运算的操作 Veirlog HDL 函数在综合时被理解成具有独立运算功能的电路, 每调用一次函数相当于改变这部分电路的输入以得到相应的计算结果 下例是函数调用的一个简单示范, 采用同步时钟触发运算的执行, 每个 clk 时钟周期都会执行一次运算 并且在测试模块中, 通过调用系统任务 $display 在时钟的下降沿显示每次计算的结果 模块源代码 : module tryfunct(clk,n,result,reset); output[31:0] result; input[3:0] n; input reset,clk; reg[31:0] result; clk) if(!reset) result<=0; else //clk 的上沿触发同步运算 //reset 为低时复位 275

11 result <= n * factorial(n)/((n*2)+1); function [31:0] factorial; // 函数定义 input [3:0] operand; reg [3:0] index; factorial = operand? 1 : 0; for(index = 2; index <= operand; index = index + 1) factorial = index * factorial; function module 测试模块源代码 : `include "./step6.v" `timescale 1ns/100ps `define clk_cycle 50 module tryfucttop; reg[3:0] n,i; reg reset,clk; wire[31:0] result; initial n=0; reset=1; clk=0; #100 reset=0; #100 reset=1; for(i=0;i<=15;i=i+1) #200 n=i; #100 $stop; always #`clk_cycle clk=~clk; 276

12 tryfunct tryfunct(.clk(clk),.n(n),.result(result),.reset(reset)); module 上例中函数 factorial(n) 实际上就是阶乘运算 必须提醒大家注意的是, 在实际的设计 中, 我们不希望设计中的运算过于复杂, 以免在综合后带来不可预测的后果 经常的情况是, 我们把复杂的运算分成几个步骤, 分别在不同的时钟周期完成 仿真波形 ( 部分 ): 练习 : 设计一个带控制端的逻辑运算电路, 分别完成正整数的平方 立方和阶乘的运算 编 写测试模块, 并给出仿真波形 练习七. 在 Verilog HDL 中使用任务 (task) 目的 : 掌握任务在结构化 Verilog HDL 设计中的应用 仅有函数并不能完全满足 Veirlog HDL 中的运算需求 当我们希望能够将一些信号进行运算并输出多个结果时, 采用函数结构就显得非常不方便, 而任务结构在这方面的优势则十分突出 任务本身并不返回计算值, 但是它通过类似 C 语言中形参与实参的数据交换, 非常快捷地实现运算结果的调用 此外, 我们还常常利用任务来帮助我们实现结构化的模块设计, 将批量的操作以任务的形式独立出来, 这样设计的目的通常一眼看过去就很明了 下面是一个利用 task 和电平敏感的 always 块设计比较后重组信号的组合逻辑的实例 可以看到, 利用 task 非常方便地实现了数据之间的交换, 如果要用函数实现相同的功能是非常复杂的 ; 另外,task 也避免了直接用一般语句来描述所引起的不易理解和综合时产生冗余逻辑等问题 模块源代码 : // sort4.v module sort4(ra,rb,rc,rd,a,b,c,d); output[3:0] ra,rb,rc,rd; input[3:0] a,b,c,d; reg[3:0] ra,rb,rc,rd; reg[3:0] va,vb,vc,vd; (a or b or c or d) 277

13 {va,vb,vc,vd}={a,b,c,d}; sort2(va,vc); //va 与 vc 互换 sort2(vb,vd); //vb 与 vd 互换 sort2(va,vb); //va 与 vb 互换 sort2(vc,vd); //vc 与 vd 互换 sort2(vb,vc); //vb 与 vc 互换 {ra,rb,rc,rd}={va,vb,vc,vd}; task sort2; inout[3:0] x,y; reg[3:0] tmp; if(x>y) tmp=x; x=y; y=tmp; task //x 与 y 变量的内容互换, 要求顺序执行, 所以采用阻塞赋值方式 module 值得注意的是 task 中的变量定义与模块中的变量定义不尽相同, 它们并不受输入输出类 型的限制 如此例,x 与 y 对于 task sort2 来说虽然是 inout 型, 但实际上它们对应的是 always 块中变量, 都是 reg 型变量 测试模块源代码 : `timescale 1ns/100ps `include "sort4.v" module task_top; reg[3:0] a,b,c,d; wire[3:0] ra,rb,rc,rd; initial a=0;b=0;c=0;d=0; repeat(5) #100 a ={$random}%15; b ={$random}%15; c ={$random}%15; d ={$random}%15; 278

14 #100 $stop; sort4 sort4 (.a(a),.b(b),.c(c),.d(d),.ra(ra),.rb(rb),.rc(rc),.rd(rd)); module 仿真波形 ( 部分 ): 练习 : 设计一个模块, 通过任务完成 3 个 8 位 2 进制输入数据的冒泡排序 要求 : 时钟触发 任务的执行, 每个时钟周期完成一次数据交换的操作 练习八. 利用有限状态机进行复杂时序逻辑的设计 目的 : 掌握利用有限状态机实现复杂时序逻辑的方法 ; 在数字电路中我们已经学习过通过建立有限状态机来进行数字逻辑的设计, 而在 Verilog HDL 硬件描述语言中, 这种设计方法得到进一步的发展 通过 Verilog HDL 提供的语句, 我们可以直观地设计出适合更为复杂的时序逻辑的电路 关于有限状态机的设计方法在教材中已经作了较为详细的阐述, 在此就不赘述了 下例是一个简单的状态机设计, 功能是检测一个 5 位二进制序列 考虑到序列重叠的可能, 有限状态机共提供 8 个状态 ( 包括初始状态 IDLE) 模块源代码 : seqdet.v module seqdet(x,z,clk,rst,state); input x,clk,rst; output z; output[2:0] state; reg[2:0] state; wire z; 279

15 parameter IDLE='d0, A='d1, B='d2, C='d3, D='d4, E='d5, F='d6, G='d7; assign z = ( state==e && x==0 )? 1 : 0; // 当 x=0 时, 状态已变为 E, // 状态为 D 时,x 仍为 1 因此 // 输出为 1 的条件为 ( state==e && x==0 ) clk) if(!rst) state <= IDLE; else casex(state) IDLE : if(x==1) state <= A; A: if(x==0) state <= B; B: if(x==0) state <= C; else state <= F; C: if(x==1) state <= D; else state <= G; D: if(x==0) state <= E; 280

16 module else state <= A; E: if(x==0) state <= C; else state <= A; F: if(x==1) state <= A; else state <= B; G: if(x==1) state <= F; default:state=idle; // 缺省状态为初始状态 case 测试模块源代码 : // seqdet.v `timescale 1ns/1ns `include "./seqdet.v" module seqdet_top; reg clk,rst; reg[23:0] data; wire[2:0] state; wire z,x; assign x=data[23]; always #10 clk = ~clk; clk) data={data[22:0],data[23]}; initial 281

17 clk=0; rst=1; #2 rst=0; #30 rst=1; data ='b1100_1001_0000_1001_0100; #500 $stop; seqdet m(x,z,clk,rst,state); module 仿真波形 : 练习 : 设计一个串行数据检测器 要求是 : 连续 4 个或 4 个以上的 1 时输出为 1, 其他输入 情况下为 0 编写测试模块并给出仿真波形 练习九. 利用状态机的嵌套实现层次结构化设计 目的 :1. 运用主状态机与子状态机产生层次化的逻辑设计 ; 2. 在结构化设计中灵活使用任务 (task) 结构 在上一节, 我们学习了如何使用状态机的实例 实际上, 单个有限状态机控制整个逻辑电路的运转在实际设计中是不多见, 往往是状态机套用状态机, 从而形成树状的控制核心 这一点也与我们提倡的层次化 结构化的自顶而下的设计方法相符, 下面我们就将提供一个这样的示例以供大家学习 该例是一个简化的 EPROM 的串行写入器 事实上, 它是一个 EPROM 读写器设计中实现写功能的部分经删节得到的, 去除了 EPROM 的启动 结束和 EPROM 控制字的写入等功能, 只具备这样一个雏形 工作的步骤是 :1. 地址的串行写入 ;2. 数据的串行写入 ;3. 给信号源应答, 信号源给出下一个操作对象 ;4. 结束写操作 通过移位令并行数据得以一位一位输出 模块源代码 : 282

18 module writing(reset,clk,address,data,sda,ack); input reset,clk; input[7:0] data,address; output sda,ack; //sda 负责串行数据输出 ; //ack 是一个对象操作完毕后, 模块给出的应答信号 reg link_write; //link_write 决定何时输出 reg[3:0] state; // 主状态机的状态字 reg[4:0] sh8out_state; // 从状态机的状态字 reg[7:0] sh8out_buf; // 输入数据缓冲 reg finish_f; // 用以判断是否处理完一个操作对象 reg ack; parameter idle=0,addr_write=1,data_write=2,stop_ack=3; parameter bit0=1,bit1=2,bit2=3,bit3=4,bit4=5,bit5=6,bit6=7,bit7=8; assign sda = link_write? sh8out_buf[7] : 1'bz; clk) if(!reset) link_write<= 0; state <= idle; finish_f <= 0; sh8out_state<=idle; ack<= 0; sh8out_buf<=0; else case(state) // 复位 idle: link_write <= 0; state <= idle; finish_f <= 0; sh8out_state<=idle; ack<= 0; sh8out_buf<=address; state <= addr_write; 283

19 addr_write: // 地址的输入 if(finish_f==0) shift8_out; else sh8out_state <= idle; sh8out_buf <= data; state <= data_write; finish_f <= 0; data_write: // 数据的写入 if(finish_f==0) shift8_out; else link_write <= 0; state <= stop_ack; finish_f <= 0; ack <= 1; stop_ack: ack <= 0; state <= idle; // 完成应答 case task shift8_out; case(sh8out_state) // 串行写入 idle: link_write <= 1; sh8out_state <= bit0; 284

20 bit0: link_write <= 1; sh8out_state <= bit1; sh8out_buf <= sh8out_buf<<1; bit1: sh8out_state<=bit2; sh8out_buf<=sh8out_buf<<1; bit2: sh8out_state<=bit3; sh8out_buf<=sh8out_buf<<1; bit3: sh8out_state<=bit4; sh8out_buf<=sh8out_buf<<1; bit4: sh8out_state<=bit5; sh8out_buf<=sh8out_buf<<1; bit5: sh8out_state<=bit6; sh8out_buf<=sh8out_buf<<1; bit6: sh8out_state<=bit7; sh8out_buf<=sh8out_buf<<1; 285

21 bit7: link_write<= 0; finish_f<=finish_f+1; task case module 测试模块源代码 : `timescale 1ns/100ps `define clk_cycle 50 module writingtop; reg reset,clk; reg[7:0] data,address; wire ack,sda; always #`clk_cycle clk = ~clk; initial clk=0; reset=1; data=0; address=0; #(2*`clk_cycle) reset=0; #(2*`clk_cycle) reset=1; #(100*`clk_cycle) $stop; ack) // 接收到应答信号后, 给出下一个处理对象 data=data+1; address=address+1; writing writing(.reset(reset),.clk(clk),.data(data),.address(address),.ack(ack),.sda(sda)); module 仿真波形 : 286

22 练习 : 仿照上例, 编写一个实现 EPROM 内数据串行读取的模块 编写测试模块, 给出仿真波 形 练习十. 通过模块之间的调用实现自顶向下的设计 目的 : 学习状态机的嵌套使用实现层次化 结构化设计 现代硬件系统的设计过程与软件系统的开发相似, 设计一个大规模的集成电路的往往由 模块多层次的引用和组合构成 层次化 结构化的设计过程, 能使复杂的系统容易控制和调 试 在 Verilog HDL 中, 上层模块引用下层模块与 C 语言中程序调用有些类似, 被引用的子 模块在综合时作为其父模块的一部分被综合, 形成相应的电路结构 在进行模块实例引用时, 必须注意的是模块之间对应的端口, 即子模块的端口与父模块的内部信号必须明确无误地一 一对应, 否则容易产生意想不到的后果 下面给出的例子是设计中遇到的一个实例, 其功能是将并行数据转化为串行数据送交外 部电路编码, 并将解码后得到的串行数据转化为并行数据交由 CPU 处理 显而易见, 这实际 上是两个独立的逻辑功能, 分别设计为独立的模块, 然后再合并为一个模块显得目的明确 层次清晰 // p_to_s.v module p_to_s(d_in,t0,data,send,esc,add_100); output D_in,T0; // D_in 是串行输出,T0 是移位时钟并给 // CPU 中断, 以确定何时给出下个数据 input [7:0] data; // 并行输入的数据 input SEND,ESC,ADD_100; //SEND ESC 共同决定是否进行并到串 // 的数据转化 ADD_100 决定何时置数 wire D_in,T0; reg [7:0] DATA_Q,DATA_Q_buf; assign T0 =! (SEND & ESC); // 形成移位时钟. assign D_in = DATA_Q[7]; // 给出串行数据 T0 or negedge ADD_100) //ADD_100 下沿置数,T0 上沿移位 287

23 if(!add_100) DATA_Q = data; else DATA_Q_buf = DATA_Q<<1; DATA_Q = DATA_Q_buf; //DATA_Q_buf 作为中介, 以令综合器 // 能辨明 module 在 p_to_s.v 中, 由于移位运算虽然可综合, 但是不是简单的 RTL 级描述, 直接用 DATA_Q<=DATA_Q<<1 的写法在综合时会令综合器产生误解 另外, 在该设计中, 由于时钟 T0 的频率较低, 所以没有象以往那样采用低电平置数, 而是采用 ADD_100 的下降沿置数 // s_to_p.v module s_to_p(t1, data, D_out,DSC,TAKE,ADD_101); output T1; // 给 CPU 中断, 以确定 CPU 何时取转化 // 得到的并行数据 output [7:0] data; input D_out, DSC, TAKE, ADD_101; //D_out 提供输入串行数据 DSC TAKE // 共同决定何时取数 wire [7:0] data; wire T1,clk2; reg [7:0] data_latch, data_latch_buf; assign clk2 = DSC & TAKE ; // 提供移位时钟 assign T1 =!clk2; assign data = (!ADD_101)? data_latch : 8'bz; always@(posedge clk2) data_latch_buf = data_latch << 1; //data_latch_buf 作缓冲 data_latch = data_latch_buf; //, 以令综合器能辩明 data_latch[0] = D_out; module 将上面的两个模块合并起来的 sys.v 的源代码 : // sys.v `include "./p_to_s.v" `include "./s_to_p.v" module sys(d_in,t0,t1, data, D_out,SEND,ESC,DSC,TAKE,ADD_100,ADD_101); input D_out,SEND,ESC,DSC,TAKE,ADD_100,ADD_101; inout [7:0] data; output D_in,T0,T1; 288

24 p_to_s s_to_p p_to_s(.d_in(d_in),.t0(t0),.data(data),.send(send),.esc(esc),.add_100(add_100)); s_to_p(.t1(t1),.data(data),.d_out(d_out),.dsc(dsc),.take(take),.add_101(add_101)); module 测试模块源代码 : // Top test file for sys.v `timescale 1ns/100ps `include "./sys.v" module Top; reg D_out,SEND,ESC,DSC,TAKE,ADD_100,ADD_101; reg[7:0] data_buf; wire [7:0] data; wire clk2; assign data = (ADD_101)? data_buf : 8'bz; //data 在 sys 中是 inout 型变量,ADD_101 // 控制 data 是作为输入还是进行输出 assign clk2 =DSC && TAKE; initial SEND = 0; ESC = 0; DSC = 1; TAKE = 1; ADD_100 = 1; ADD_101 = 1; initial data_buf = 8'b ; #90 ADD_100 = 0; #100 ADD_100 = 1; always #50; SEND = ~SEND; 289

25 ESC = ~ESC; initial #1500 ; SEND = 0; ESC = 0; DSC = 1; TAKE = 1; ADD_100 = 1; ADD_101 = 1; D_out = 0; #1150 ADD_101 = 0; #100 ADD_101 =1; #100 $stop; always #50 ; DSC = ~DSC; TAKE = ~TAKE; clk2) D_out = ~D_out; sys sys(.d_in(d_in),.t0(t0),.t1(t1),.data(data),.d_out(d_out),.add_101(add_101),.send(send),.esc(esc),.dsc(dsc),.take(take),.add_100(add_100)); module 仿真波形 : 290

26 练习 : 设计一个序列发生器 要求根据输入的 8 位并行数据输出串行数据, 如果输入数据在 之间则输出一位 0, 如果输入数据在 之间则输出一位 1, 同步时钟触发 ; 并 且和范例 8 的序列检测器搭接, 形成一个封闭系统 编写测试模块, 并给出仿真波形 注 : 本文原作者不祥, 您可以从 下载本文 291

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 7 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 2017 年春 6 Verilog 硬件描述语言 6.1 硬件描述语言简介 6.2 Verilog HDL 与 C 语言 6.3 Verilog 的数据类型 6.4 Verilog 运算符及优先级 6.5 Verilog 模块的结构 6.6 Verilog 设计的层次与风格 6.7 Verilog 行为语句

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1 1 1 3 5 5 8 9 9 11 13 14 16 17 17 19 21 23 25 26 26 29 31 32 32 33 34 35 37 38 1 1. 2. 3. 1. 2. 3. 4. 5. 1 2 3 1. A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D. 23. 5 N 1 1 2 3 1. A. B. C. D.

More information

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向 新 东 方 全 国 法 律 硕 士 ( 非 法 学 ) 联 考 模 拟 考 试 专 业 基 础 课 答 案 解 析 一 单 项 选 择 题 1. 答 案 D 本 题 主 要 考 查 刑 法 分 则 中 关 于 亲 告 罪 与 非 亲 告 罪 的 规 定 要 注 意 这 些 亲 告 罪 在 有 特 别 的 情 况 下, 是 公 诉 犯 罪 我 国 刑 法 共 规 定 了 5 种 告 诉 才 处 理 的

More information

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精 2015 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 中 医 综 合 科 目 试 题 解 析 一 A 型 题 :1~80 小 题, 每 小 题 1.5 分, 共 120 分 在 每 小 题 给 出 的 A B C D 四 个 选 项 中, 请 选 出 一 项 最 符 合 题 目 要 求 的 1. 提 出 阳 常 有 余, 阴 常 不 足 观 点 的 医 家 是 A 朱 丹 溪 B 刘 完

More information

试卷

试卷 ( 试 题 中 凡 主 观 题 答 案 意 思 对 即 可, 若 与 答 案 不 同 而 言 之 成 理, 亦 可 酌 情 给 分 ) 一 ~ 二 (45 分 ) 1.B( 原 文 并 未 说 网 络 社 会 生 态 系 统 的 核 心 与 现 实 社 会 生 态 系 统 的 核 心 不 同 ) 2.D( 服 务 网 络 收 集 到 的 数 据 要 和 关 系 网 络 的 数 据 整 合 在 一 起,

More information

,,!!!?,?,!,,,,,,,,,,!,,, : 1 ,,,,!, :, :,?,,,, 2 ( 1 ) 7 0 ( 11 ) ( 12 ) ( 13 ) ( 14 ) ( 15 ) ( 17 ) ( 18 ) ( 19 ) ( 21 ) ( 22 ) ( 23 ) ( 25 ) ( 26 ) ( 27 ) ( 29 ) ( 30 ) ( 31 ) ( 32 ) ( 33 ) ( 34 ) (

More information

《米开朗琪罗传》

《米开朗琪罗传》 ! " # ! """"""""""""""""""" """"""""""""""""" """""""""""""""" $% """"""""""""" &# """"""""""""""" %# """"""""""""""" # """""""""""""""!$% """""""""""""""!&!! # $$$$$$$$$$$$$$$$$$ $$$$$$$$$!"#!%& (! "

More information

Ps22Pdf

Ps22Pdf A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D B C D F G I J A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

zt

zt ! " " " " " " " " " " !" %$$#! " "& ((! "!"#!"!" #!#$ "#$!$ "$!"##!"$!!"#!"!" % #$%" % # "% &!!!& ()*+,,-!& ()*+,,-*! "!,-!,-* "!)&*+,,-!)&*+,,-* "&(!$%!"! &!& ()&0,;!/) (&-:A 2-1,;!/) +2(192>*.) /0-1

More information

Microsoft PowerPoint - chap02.ppt

Microsoft PowerPoint - chap02.ppt 第 2 章 HDL 入门指南 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn module- 模块 Verilog 描述的基本单位 用于描述电路的功能 结构及与其他 module 的通信端口 一个 module 表示一个设计, 其描述方式包括 : 数据流方式 连续赋值语句 行为方式 过程语句 结构方式 其他 module 和开关级原语 (primitive) 门级原语及用户定义的原语

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

科別

科別 年 力 料 1 劉 列 來 說 (A) 勞 (B) 不 (C) (D) 什 什 1. 說 說 什 什 說 (B) 不 不 2. 兩 (B) 亂 () 路 滑 () 路 ()(D) 什 什 (B) 不 不 不 不 不 什 (B) 說 (D) 什 什 精 亂 ( 惡 )( 惡 ) 路 來 () 路 兩 亂 惡 年 力 料 3 列 (A) (B) (C) (D) 1. 念 都 (C)(A) 不 ( 參 )

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

2 A

2 A 1 2 A 3 AB 8 11 12 13 14 15 16 4 5 6 21 200 (l)20 (2)15 (3)10 7 8 9 10 11 11 12 14 15 12 13 14 15 16 17 18 19 20 21 17 18 203500 1500 500 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

國 立 台 南 二 中 104 學 年 度 第 二 學 期 第 一 次 期 中 考 高 三 國 文 科 解 答 壹 選 擇 題 1 B 2 B 3 C 4 A 5 A 6 C 7 B 8 C 9 B 10 D 11 A 12 D 13 A 14 B 15 B 16 D 17 A 18 AB 19 E

國 立 台 南 二 中 104 學 年 度 第 二 學 期 第 一 次 期 中 考 高 三 國 文 科 解 答 壹 選 擇 題 1 B 2 B 3 C 4 A 5 A 6 C 7 B 8 C 9 B 10 D 11 A 12 D 13 A 14 B 15 B 16 D 17 A 18 AB 19 E 國 立 台 南 二 中 104 學 年 度 第 二 學 期 第 一 次 期 中 考 高 三 國 文 科 解 答 壹 選 擇 題 1 B 2 B 3 C 4 A 5 A 6 C 7 B 8 C 9 B 10 D 11 A 12 D 13 A 14 B 15 B 16 D 17 A 18 AB 19 E 20 AD 21 BDE 22 ABCD 23 ABD 24 BD 解 析 1.(A) ㄌㄧˋ/ㄓㄣˇ

More information

山东2014第四季新教材《会计基础》冲刺卷第二套

山东2014第四季新教材《会计基础》冲刺卷第二套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 2 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

# #$$%& ()*+, -$. #-# / & 0 & 0 #& $& 1 #.& /# 2(3 #$$# $..-$ #$ 0 0 $$$$4 0 0 %# 0-5$ 6 /-0 /0 #$ 0 5$$$ #$$% 0 0 #$$% ()*+, -$. #-# / 7, $8 $$

# #$$%& ()*+, -$. #-# / & 0 & 0 #& $& 1 #.& /# 2(3 #$$# $..-$ #$ 0 0 $$$$4 0 0 %# 0-5$ 6 /-0 /0 #$ 0 5$$$ #$$% 0 0 #$$% ()*+, -$. #-# / 7, $8 $$ # #$$%& ()*+, -$. #-# / & 0 & 0 #& $& 1 #.& /# 2(3 #$$# $..-$ #$ 0 0 $$$$4 0 0 %# 0-5$ 6 /-0 /0 #$ 0 5$$$ #$$% 0 0 #$$% ()*+, -$. #-# / 7,50 0 0 $8 $$ # # $ $ % % & & ( ( ) ) ## * #* + #+, & - &&. &$ /

More information

untitled

untitled 2009 6 20 17 864 2008 200978 2 200979 4 200981 25 200982 26 60 200983 27 200984 28 20093857 31 1 200978 200625 5 20098 2009 3 5 14 14 2008 2 2008 14 2008 14 4247317.56 3620679.57 2008 4296147.94 3624433.77

More information

北京2014年会计从业资格考试《会计基础》备考机试卷一

北京2014年会计从业资格考试《会计基础》备考机试卷一 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 北 京 2014 年 会 计 从 业 资 格 考 试 会 计 基 础 备 考 机 试 卷 一 1 单 项 选 择 题 ( 下 列 各 题 的 备 选 答 案 中, 请 从 中 选 出 一 个 最 符 合 题 意 的 答 案 本 类 题 共 20 个 小 题, 每 小 题 1 分, 共 20 分 多 选 错 选

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

A B C D E F 3 B C D E F A 3 1995 13 27 299 1993 45 29 301 1995 47 5 12 30 6 12 31 67 17 1 1 4 8 00 2 145 1 1 11 12 1 1 1 1 1 1 1 1 1+ + + + + + + 2 6 12 20 30 42 56 72 1 1 1 1 2 + + + + 1 3 3 5 5 7

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 单总线温度传感器驱动 王安然 STEP FPGA DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动 DS18B20Z 配置 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 : DS18B20Z 指令 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册

More information

PowerPoint Presentation

PowerPoint Presentation Verilog HDL 的基本知识 周立功 Actel 产品线 作者简介 20 世纪 60 年代毕业于清华大学自控系计算与技术专业 北京航空航天大学教授, 主要的研究领域为嵌入式数字系统的设计 夏宇闻教授 1995 年开始筹建我国首个 EDA 实验室, 在其后十几年间为航天部设计多个复杂数字电路 2006 年至今受聘于神州龙芯集成电路设计公司担任技术顾问 概述 数字通信和自动化控制等领域的高速度发展和世界范围的高技术竞争对数字系统提出了越来越高的要求,

More information

2 2 12 12 4 81 = 108 3 2 108 = 72 3 4 72 = 96 3 2 96 = 64 3 12 t = 2 1 2 11 12 12 12 2 l 2 l 2 l 2 12 ò ED = CB DA BA DE

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

东 奥 解 析 (1) 小 规 模 纳 税 人 销 售 货 物, 应 按 照 3% 的 征 收 率 计 算 应 纳 税 额, 不 得 抵 扣 进 项 税 额 ;(2) 计 税 依 据 含 增 值 税 的, 应 价 税 分 离 计 算 应 纳 税 额 知 识 点 小 规 模 纳 税 人 应 纳 税 额

东 奥 解 析 (1) 小 规 模 纳 税 人 销 售 货 物, 应 按 照 3% 的 征 收 率 计 算 应 纳 税 额, 不 得 抵 扣 进 项 税 额 ;(2) 计 税 依 据 含 增 值 税 的, 应 价 税 分 离 计 算 应 纳 税 额 知 识 点 小 规 模 纳 税 人 应 纳 税 额 一 单 项 选 择 题 1. 根 据 企 业 所 得 税 法 律 制 度 的 规 定, 下 列 关 于 企 业 所 得 税 税 前 扣 除 的 表 述 中, 不 正 确 的 是 ( ) A. 企 业 发 生 的 合 理 的 工 资 薪 金 的 支 出, 准 予 扣 除 B. 企 业 发 生 的 职 工 福 利 费 支 出 超 过 工 资 薪 金 总 额 的 14% 的 部 分, 准 予 在 以 后

More information

bingdian001.com

bingdian001.com 2015 ( ) 1 A. B. C. D. B A ; C ; D 2 A. B. C. D. B C ; D 3 2014 2 5 7 1 100 1.4 2014 12 31 9 1 2015 2 20 8 ;3 20 11.6 1 2015 A.260 B.468 C.268 D.466.6 B = 8+(11.6-9)*100+ (9-7)*100=468 4. A. B. C. D. C

More information

山东2014第四季新教材《会计基础》冲刺卷第三套

山东2014第四季新教材《会计基础》冲刺卷第三套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 3 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

PowerPoint Presentation

PowerPoint Presentation 第三章 Verilog HDL 语句与语法 3.1 Verilog 模块结构 Verilog 基本设计单元是 模块 (module) 一个模块是由两部分组成, 一部分描述接口, 另一部分描述功能 端口定义 : 内部信号说明 : 功能定义 : 各种语句完成逻辑功能 always 通常时序 assign 通常组合逻辑 全加器 module adder(cout,sum,a,b,cin);// 端口定义

More information

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884 , : :,, : ( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 88415258( ) 787 1092 1 / 16 195 8 2004 10 1

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

2011-论文选集-2.cdr

2011-论文选集-2.cdr ! "#$# $$ "#$#$$" " $% &%!$ $ "#$$ " ! "!#!$ %" #& # ( #$ ) )& )# )$ ** "& ")! ! "" # $% & &( ( # ) )** )*+ )*$ )) ))" ),+ )," -./ ) ) ) " )++ )+" )%,, !"#" $ ! " #$% & ( & ) % #$% #$% & * #$%#$% #$% (

More information

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处 北 京 市 会 计 从 业 资 格 无 纸 化 考 试 财 经 法 规 与 会 计 职 业 道 德 上 机 考 试 题 库 ( 五 ) 考 试 时 间 :60 分 钟 一 单 项 选 择 题 ( 本 题 共 20 分, 每 小 题 1 分 每 小 题 只 有 一 个 正 确 答 案, 多 选 错 选 漏 选, 不 得 分 ) 1. 纳 税 人 生 产 规 模 较 小 产 品 零 星 税 源 分 散

More information

Microsoft PowerPoint - IC-3-4-verilog-synthesis

Microsoft PowerPoint - IC-3-4-verilog-synthesis 第四讲 : 硬件描述语言与集成电路设计 ( 续 ) 2005 年 4 月 10 日 Verilog 常见错误分析 Verilog 对逻辑硬件进行建模和模拟的同时, 必须理解代码与硬件实现的联系 如何为综合工具书写 Verilog 代码? 针对综合的模块划分规则与技巧 针对综合的代码编写规则与技巧 Verilog 常见错误分析 Verilog 对逻辑硬件进行建模和模拟的同时, 必须理解代码与硬件实现的联系

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

FPGA 培训专家 FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n

FPGA 培训专家   FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20ns*8=160ns, 高电平持续时间是 80ns, 低电平持续时间是 80ns, 因此可以用 50Mhz 作为计数器的触发时钟, 当从 0 计数到 3

More information

优合会计考点直击卷子之财经法规答案——第八套

优合会计考点直击卷子之财经法规答案——第八套 原 题 导 航 基 础 第 一 套 第 1 题 参 考 答 案 : C 试 题 评 析 : 在 社 会 主 义 市 场 经 济 条 件 下, 会 计 的 对 象 是 社 会 再 生 产 过 程 中 主 要 以 货 币 表 现 的 经 济 活 动 第 2 题 参 考 答 案 :B 试 题 评 析 : 在 权 责 发 生 制 下, 本 期 售 货 尚 未 收 到 销 售 货 款 属 于 当 期 收 入

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

戲劇研究 創刊號 詞之雅化 實為 折子戲 源生之三個重要背景 歷代戲曲劇種如先秦至唐代之 戲曲小戲 宋金雜劇院本 北曲雜劇四折每折作獨立性演出 乃至明清民間 小戲與南雜劇之一折短劇 均實為折子戲之 先驅 則明正德至嘉靖間北劇南 戲選本之 摘套 與 散齣 迎神賽社禮節傳簿 中之 零折散齣 均可 視之為

戲劇研究 創刊號 詞之雅化 實為 折子戲 源生之三個重要背景 歷代戲曲劇種如先秦至唐代之 戲曲小戲 宋金雜劇院本 北曲雜劇四折每折作獨立性演出 乃至明清民間 小戲與南雜劇之一折短劇 均實為折子戲之 先驅 則明正德至嘉靖間北劇南 戲選本之 摘套 與 散齣 迎神賽社禮節傳簿 中之 零折散齣 均可 視之為 戲 劇 研 究 200 年1月 創刊號 頁1 2 論說 折子戲 曾永義 世新大學講座教授 緒論 折子戲 這一戲曲名詞 大家耳熟能詳 但如果進一步思考 1. 折子戲 之名始於何時 2. 折子戲 之詞彙結構如何形成 3.如果把 折子戲 當作一生命體 那麼其源生 形成 成熟與衰老的不同 階段 各自如何 其源生 形成的背景如何 其成熟興盛和衰老頹廢的原因又是 如何 4.當折子戲成熟之時 折子戲本身具有何等樣的周延義涵

More information

2013年3月国家教师资格统一考试

2013年3月国家教师资格统一考试 2016 年 导 游 资 格 考 试 导 游 基 础 模 拟 试 题 及 答 案 4 一 单 项 选 择 题 ( 请 选 择 一 个 正 确 答 案, 并 将 正 确 答 案 涂 在 答 题 卡 相 应 的 位 置 上 共 60 小 题, 每 小 题 0.5 分, 共 30 分 ) 1. 马 克 思 列 宁 主 义 同 中 国 实 际 相 结 合 的 第 二 次 历 史 性 飞 跃 的 理 论 成

More information

Microsoft Word - fy.doc

Microsoft Word - fy.doc 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 高 等 教 育 十 二 五 规 划 教 材 学 前 教 育 专 业 系 列 教 材 学 前 卫 生 学 ( 第 二 版 ) 王 来 圣 主 编 李 君 邓 朝 晖 副 主 编 杨 艳 主 审 北 京 内 容 简 介 本 书 是 山 东 省 高 等 学 校 省 级 精 品 课 程 学 前 卫 生 学 的 配 套 教 材 第 二 版

More information

zt

zt ! ! !"" #" $ !"#$ % & " ())! "# ( ( * % & * % (+() (%, !"#$ "%& ( % !"!#$% $%&!"%! %& ( !" #$ %$!#!" & !" #$%$ &" ( ( ) * !! " #!$!! %&!! % ( ( &% )* )" ") (! !"#!"#!"$!!%!#%!&!(!(!)*!**!!%*!$* #") #")

More information

論鄭玄對《禮記‧月令》的考辨

論鄭玄對《禮記‧月令》的考辨 19997 183-196 論 鄭 玄 對 禮 記 月 令 的 考 辨 183 論 鄭 玄 對 禮 記 月 令 的 考 辨 一 問 題 的 背 景 20b 8a 1 472 24 20a 33 7a 2 3 1 35 60 64 472 240241 2 1a 3 19b 184 4 5 二 鄭 玄 考 辨 月 令 成 書 時 代 及 來 源 的 論 證 65 4 20b 282 5 235244

More information

九十六學年度第一學期第三次定期考國文科試題

九十六學年度第一學期第三次定期考國文科試題 凡 答 案 卡 上 因 個 人 基 本 資 料 畫 記 錯 誤 或 不 完 全, 造 成 讀 卡 過 程 無 法 判 定 身 分 者, 本 科 此 次 定 期 考 分 數 扣 3 分 一 單 選 題 ( 每 題 2 分 )36% 1.( 甲 ) 乃 覺 三 十 里 :ㄐㄩㄝˊ( 乙 ) 經 宿 方 至 :ㄙㄨˋ( 丙 ) 乾 癟 :ㄅㄧㄢˇ( 丁 ) 垂 髫 : ㄊㄧㄠˊ( 戊 ) 一 綹 短 髮

More information

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的 2016 考 研 政 治 真 题 答 案 及 解 析 ( 完 整 版 ) 来 源 : 文 都 教 育 一 单 选 题 1.B 解 析 此 题 考 查 的 是 适 度 原 则 AC 选 项 表 述 正 确 但 与 题 目 无 关 D 表 述 错 误, 现 象 表 现 本 质 的 只 有 B 与 题 干 相 符, 所 以 答 案 为 B 2.A 解 析 前 一 句 话 " 自 由 不 在 于 幻 想 中

More information

50~56 I1. 1 A 2 3 I2. I2a. 1 2 3 4 5 ( ) I2b. 1 2 3 I2b1. 4 5 ( ) I3. 11 12 02 ( ) 1 2 (24 ) A1. 0 1 A2 A1a. ( ) A2. ( ) () () ( ) ------------------------------------------------------------------------------------------

More information

!"# $%& %!"# $%& %!"#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!"!#

!# $%& %!# $%& %!#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!!# !"#$%& % ( % )& (% ( % (( )( !"# $%& %!"# $%& %!"#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!"!# !"#$%& %!! "! # " $ # % & & ( ) *!+ !"#$%& % ( (*( (*+ "#$% $%%"# (*, (*% + +*(

More information

!"#$!"%&!"$!""( )( )( #( "#*!&#) %&*!(+,- %.!/( )( #( ,-2 89 /

!#$!%&!$!( )( )( #( #*!&#) %&*!(+,- %.!/( )( #( ,-2 89 / "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

主 題 四 : 都 卜 勒 效 應 一 都 卜 勒 效 應 1. 現 象 : 當 波 源 與 觀 察 者 連 線 間 有 相 對 運 動 時, 聽 者 所 接 收 到 的 頻 率 ( 視 頻 ) 將 與 波 源 之 原 頻 率 不 同, 此 現 象 稱 為 都 卜 勒 效 應 例 如 站 於 路 旁

主 題 四 : 都 卜 勒 效 應 一 都 卜 勒 效 應 1. 現 象 : 當 波 源 與 觀 察 者 連 線 間 有 相 對 運 動 時, 聽 者 所 接 收 到 的 頻 率 ( 視 頻 ) 將 與 波 源 之 原 頻 率 不 同, 此 現 象 稱 為 都 卜 勒 效 應 例 如 站 於 路 旁 都卜勒效應 項少龍老師 項少龍老師 主 題 四 : 都 卜 勒 效 應 一 都 卜 勒 效 應 1. 現 象 : 當 波 源 與 觀 察 者 連 線 間 有 相 對 運 動 時, 聽 者 所 接 收 到 的 頻 率 ( 視 頻 ) 將 與 波 源 之 原 頻 率 不 同, 此 現 象 稱 為 都 卜 勒 效 應 例 如 站 於 路 旁, 當 救 護 車 駛 來 時, 觀 察 者 聽 到 之 聲 音

More information

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A . () () () () () (A) (B) (C) B (D) (E). (A) (B) (C) E (D) (E) (A) (B) (C) (D). () () () () E (A) (B) (C) (D) (E). C (A) (B) (C) (D) (E). (A) (B) (C) (D) D (E). () - () - () - () - () - D (A) (B) (C) (D)

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

777777777

777777777 智 力 測 驗 題 型 分 析 一 智 力 測 驗 的 內 容 與 目 的 1. 精 細 的 觀 察 力 2. 敏 銳 的 辨 別 力 3. 迅 速 的 了 解 4. 敏 捷 的 反 應 5. 正 確 的 記 憶 6. 精 密 的 思 想 7. 妥 當 的 判 斷 8. 豐 富 的 想 像 9. 創 造 的 能 力 10. 推 理 的 能 力 11. 組 織 的 能 力 12. 分 析 的 能 力

More information

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600,

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600, 0 1 1 1 2 19 2 3 33 3 4 45 4 5 57 5 6 71 6 8 83 8 10 95 10 12 107 12 15 119 15 18 131 18 21 143 21 24 155 2 2 167 2 3 179 [ ] 191 0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34.

More information

Microsoft Word - ZLI14A0-105

Microsoft Word - ZLI14A0-105 105 年 指 考 趨 勢 預 測 歷 史 考 歷 科 史 科 文 / 朱 詩 堯 老 文 師 / 朱 詩 堯 老 師 1 前 言 大 考 中 心 根 據 101 課 綱, 將 指 考 歷 史 科 測 驗 分 為 四 項 可 相 互 依 存 的 指 標 : 基 礎 知 識 文 本 閱 讀 歷 史 解 釋 資 料 證 據, 每 項 指 標 又 將 記 憶 閱 讀 分 析 推 證 等 能 力 納 入 一

More information

<453A5CB8F7B7D6C9E7D4F0B1E05CBFBCCAD4B7D6C9E75CD5D4C3F7CFBC5CCAE9C4BFCEC4BCFE5CB7A8C2C9B3F6B0E6C9E7CBBEB7A8BFBCCAD4B7FECEF1D7A8BFAF2E646F6378>

<453A5CB8F7B7D6C9E7D4F0B1E05CBFBCCAD4B7D6C9E75CD5D4C3F7CFBC5CCAE9C4BFCEC4BCFE5CB7A8C2C9B3F6B0E6C9E7CBBEB7A8BFBCCAD4B7FECEF1D7A8BFAF2E646F6378> 司 考 通 关 必 备 律 出 版 社 考 试 分 社 真 题 书 系 体 例 书 名 作 者 备 选 理 由 2014 年 国 家 司 考 试 试 题 司 部 国 家 司 考 试 中 官 方 唯 一 出 品, 命 题 专 家 权 威 解 析 心 之 作 2015 年 国 家 司 考 试 历 年 律 考 试 中 心 收 录 6+2 年 真 题 及 详 解, 附 赠 试 题 汇 编 及 详 解 ( 应

More information

!! "#$% & ()*+,-. &/ 00 " %0#0 % 00 " %0#0 %1% 2 %1$ 2 % )869:;.,*8656<,*= 9*>? *> A6)5, B,55, C,*D, B6 E)*)7)55) " F9D,

!! #$% & ()*+,-. &/ 00  %0#0 % 00  %0#0 %1% 2 %1$ 2 % )869:;.,*8656<,*= 9*>? *> A6)5, B,55, C,*D, B6 E)*)7)55)  F9D, !!!!!!!!!!! !! "#$% & ()*+,-. &/ 00 " %0#0 % 00 " %0#0 %1% 2 %1$ 2 %13 4-+567)869:;.,*8656?884 2 @@@=.,*8656 A6)5, B,55, C,*D, B6 E)*)7)55) " F9D, G8)5H= !! "#$% "#& "# $ ())) "#& "##(!!

More information

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn 1500 CIP 1500 /. 2006. 8 ISBN 7 5625 2128X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D920. 5 44 CIP 2006 087648 1500 388 430074 027 87482760 027 87481537 E-mail cbb@cug. edu. cn 2006 8 1 2006 8 1 850 1 168 1 /32 8. 625 220 26. 00 1.

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

153

153 C. 僅 限 行 前 報 名 參 加 請 向 該 活 動 之 或 聯 繫 103301 7/5~6 百 岳 5 座 7/4 晚 上 8 點 AD 行 前 會 議 黃 慶 元 合 歡 群 峰 是 中 橫 公 路 旁 郊 山 化 專 車 新 埔 捷 運 站 4300/4500 6/26 晚 8 點 0919-541045 的 高 山, 包 括 合 歡 主 山 東 峰 2 號 出 口 限 22 名 免 公

More information

!!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 "

!!# $ %# & $$ % $()! *% $!*% +,-. / 0 %%# 0 $%1 0 * $! $#)2 ! """"""""""""""""""" " !!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 " !"#$%#$&!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%& (& #) *+&,"-./%0 1 2"0*-"3* #4 5%&6&4"&00 78 9+& :"/;& 7< 9+& =#4-%%/

More information

20151107083515_題目卷

20151107083515_題目卷 國 中 歷 史 B3:L6 明 代 與 盛 清 的 發 展 練 習 卷 一 單 一 選 擇 題 1. ( ) 明 清 兩 代 的 統 治 措 施 有 何 相 似 之 處? (A) 均 薙 髮 留 辮 (B) 均 種 族 歧 視 (C) 均 興 文 字 獄 (D) 均 設 特 務 機 關 2. ( ) 小 明 參 觀 北 京 的 長 陵, 領 隊 先 生 介 紹 此 乃 明 代 因 發 動 宗 室 之

More information

Microsoft Word - cjfg_jy0201.doc

Microsoft Word - cjfg_jy0201.doc 第 二 章 支 付 结 算 法 律 制 度 考 情 分 析 本 章 在 历 年 考 试 中 所 占 的 分 值 比 重 为 20 35 分 左 右 围 绕 支 付 结 算 展 开, 分 别 介 绍 了 现 金 管 理, 银 行 存 款 管 理, 以 及 各 种 支 付 结 算 工 具 本 章 重 点 为 第 四 节, 难 度 稍 高, 需 要 考 生 在 理 解 的 基 础 上 适 当 记 忆 第

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

PowerPoint Presentation

PowerPoint Presentation 第四章 Verilog HDL 电路设计 4.1 组合逻辑设计 e.g. 1 全加器 (1 位 )( 通过此简单例子理解思想 ) 1 由真值表门级设计 门数多,Verilog 成为纯输入工具 2 由逻辑组构设计 全加器 = 半加器 + 进位电路 稍简, 体现了对电路的理解, 位操作, 仍是门级设计思想 module full_adder(a,b,cin,sum,cout); input a,b,cin;

More information

( ) 16. 老 年 人 因 老 化 現 象 導 致 聽 力 較 差, 溝 通 時 應 以 高 頻 率 音 調 說 話 較 佳 編 碼 :01743 出 處 :0105 來 源 : 課 本 ( ) 17. 老 年 人 因 為 對 甜 鹹 的 味 覺 遲 鈍, 因 此 口 味 會 偏 重 此 時 可

( ) 16. 老 年 人 因 老 化 現 象 導 致 聽 力 較 差, 溝 通 時 應 以 高 頻 率 音 調 說 話 較 佳 編 碼 :01743 出 處 :0105 來 源 : 課 本 ( ) 17. 老 年 人 因 為 對 甜 鹹 的 味 覺 遲 鈍, 因 此 口 味 會 偏 重 此 時 可 高 中 健 康 與 護 理 ( 乙 版 )Ⅰ 第 一 章 我 的 健 康 我 作 主 第 五 節 面 對 老 化 Are you ready? 一 是 非 題 : 共 29 題 編 碼 :01727 出 處 :0105 來 源 : 課 本 ( ) 1. 聯 合 國 衛 生 組 織 訂 定 標 準 70 歲 以 上 即 稱 為 老 人 編 碼 :01728 出 處 :0105 來 源 : 課 本 (

More information

台北市立成功高中九十學年度第一學期高三國文科期末考試題

台北市立成功高中九十學年度第一學期高三國文科期末考試題 台 北 市 立 成 功 高 級 中 學 一 0 二 學 年 度 第 一 學 期 範 圍 1. 課 本 : 第 五 冊 L13 典 論 論 文 第 六 冊 L1 諫 逐 客 書 L4 庖 丁 解 牛 2. 課 外 讀 本 : 與 吳 質 書 高 三 國 文 科 期 末 考 試 題 電 腦 卡 上 請 將 班 級 座 號 姓 名 劃 記 清 楚, 錯 誤 者 一 律 扣 十 分 一 單 一 選 擇 題

More information

中華民國青溪協會第四屆第三次理監事聯席會議資料

中華民國青溪協會第四屆第三次理監事聯席會議資料 - 1 - 中 華 民 國 第 八 屆 第 四 次 理 監 事 聯 席 會 議 程 序 表 日 期 中 華 民 國 1 0 4 年 1 2 月 1 9 日 ( 星 期 六 ) 地 點 臺 南 南 紡 夢 時 代 雅 悅 會 館 五 樓 ( 臺 南 東 區 中 華 東 路 一 段 366 號 ) 項 次 程 序 起 訖 時 間 使 用 時 間 主 持 人 或 報 告 人 報 到 16:30~17:00

More information

2013年国家司法考试模拟试卷与答案

2013年国家司法考试模拟试卷与答案 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 2013 年 国 家 司 法 考 试 模 拟 试 卷 与 答 案 一 单 项 选 择 题 每 题 所 设 选 项 中 只 有 一 个 正 确 答 案, 多 选 错 选 或 不 选 均 不 得 分 本 部 分 含 1 50 题, 每 题 1 分, 共 50 分 第 1 题 张 某 从 银 行 贷 得 80 万 元

More information

Ps22Pdf

Ps22Pdf 0146) : 2 /. :, 2004. 7 ISBN 7-80153 - 957-5.... G726. 9 CIP ( 2004) 069174 : 2 : : : : : : 2 : 100733 : 010-65369524 65369530 : : : 880mm 1230mm 1 /32 : 2800 : 122 : 5000 : 2006 8 1 2 : ISBN 7-80153 -

More information

教学输入与学习者的语言输出 温晓虹 本文从三个方面探讨了语言的输入与输出的关系 首先从理论研究的角度讨 论了从语言输入到语言输出的习得过程 实验研究表明 输入的语言素材必须被学习者所接收 即使接收了的内容也并不会自动进入中介语的体系 而是需要进一步对输入语言进行 分解 归类等分析性与综合性的处理 在语言 内化 的基础上 学习者的中介语系统才能 够不断地得到重新组合 趋于目的语 另外 学习者在语言输出前和输出时需要调节

More information

!"#$%"#$!& () #*("+$,# -+(&. )!""# $ $ $ $ $ $ $ $ $ !!!"#$%#$&!"#$% #" %#&# %# (%!) (&#"*%!!!!!!!!!!!!!!!!!!!!!!! " "# (&$")(!*+,*)-%$ ".%).(%/!!!!!!!!!!!!!!!!!!!!!!!!!!!! $ (&$")(!*+ &$*$(&$(!*+,*)-%$

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

《侵权法》综合练习题

《侵权法》综合练习题 侵 权 法 综 合 练 习 题 (2011 年 11 月 ) 艾 奇 一 单 项 选 择 题 1. 因 环 境 污 染 受 到 损 害 的 受 害 人, 要 求 排 污 单 位 赔 偿 损 失, 需 证 明 ( ) A. 排 污 致 自 己 的 损 害 和 排 污 单 位 的 过 错 B. 排 污 造 成 自 己 的 损 害 C. 排 污 单 位 的 过 错 D. 自 己 无 任 何 过 错 2.

More information

Microsoft Word - 178004.htm

Microsoft Word - 178004.htm 7.(4) 執 行 口 對 口 人 工 呼 吸 時, 下 列 敘 述 何 者 不 正 確? 吹 氣 時, 一 手 捏 緊 案 主 鼻 子, 將 氣 體 由 案 主 口 腔 內 吹 入 吹 氣 後, 將 手 及 口 移 開, 讓 案 主 肺 部 內 氣 體 排 出 在 吹 氣 時 要 同 時 注 意 案 主 胸 部 起 伏 程 度 一 旦 案 主 有 頸 動 脈 跳 動 後 即 可 停 止 吹 氣 8.(1)

More information

民國八十九年台灣地區在校學生性知識、態度與行為研究調查

民國八十九年台灣地區在校學生性知識、態度與行為研究調查 84 年 台 灣 地 區 在 校 學 生 性 知 識 態 度 與 行 為 研 究 調 查 過 錄 編 碼 簿 題 號 變 項 名 稱 變 項 說 明 選 項 數 值 說 明 備 註 i_no 學 生 編 號 問 卷 流 水 號 location 學 校 所 在 縣 市 編 號 1 台 北 市 2 基 隆 市 3 台 中 市 4 台 南 市 5 高 雄 市 6 新 竹 市 7 嘉 義 市 21 宜 蘭

More information

, / / / 6 < / < / < : ; 7 5,, 8 8 6

, / / / 6 < / < / < : ; 7 5,, 8 8 6 ,,, -. /. / 0 3 < : 1 0. 1 2 - : 0 0 3 4 0 4 4 0 : =>8 0 =>8 4.?@= 0 0 5 5 5 4 4 0 : =>8 0 =>8 4.?@= 678.. 678 0 678 678 0 :?@= 4 =>8 5 =>8 4?@= 0 : 3 3 9 < 0 1. 0 : 4. 0 0 0 0 678 0678 678 0 0 4 4 678,

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

实 信 用 的 原 则 " 其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告

实 信 用 的 原 则  其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告 2012 年 司 法 考 试 模 拟 试 题 及 习 题 详 细 解 析 一 单 项 选 择 题, 每 题 所 给 的 选 项 中 只 有 一 个 正 确 答 案 本 部 分 1-50 题, 每 题 1 分, 共 50 分 1 甲 有 平 房 一 间 某 日, 甲 得 知 乙 将 于 该 平 房 南 建 高 楼 一 栋, 一 旦 高 楼 建 成, 该 平 房 即 无 阳 光 可 见 次 日, 甲 将

More information

1 2 3 1. F 2. F 3. F 4. 12.5g 5. 14.2g 6. 30.6g 7. 8. 50cm 24cm 15cm 9. 10. 11. 12. 13. 14. 15. 16. 17. 4 5 1. 1 2 2. 3. 50cm 24cm 15cm 4. 5. ABCD 1 15 24 50 15 24 50 6 7 8 1. 1 2. 3. 4. 5. AB 2 34 9 7

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information