IC芯片自主创新设计实验

Size: px
Start display at page:

Download "IC芯片自主创新设计实验"

Transcription

1 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组

2 一 格雷码计数器芯片设计概述 功能描述 : 当使能有效 复位端无效, 时钟上升沿来临时, 以格雷码方式计数, 输出为四位 ( 满量程 16). 重复计数. 复位端有效, 清零 格雷码计数器引脚分布如下图 : RST EN CLK GND Core OUT0 VDD OUT1 OUT2 OUT3 引脚描述 : EN : 使能信号. 使芯片开始计数, 可以实现暂停功能 RST : 复位, 清零 CLK : 时钟信号 VDD_PAD :PAD 地 VSS_PAD: PAD 电源

3 VDD_CORE: CORE 地 VSS_CORE: CROE 电源 OUT[3:0] 输出四位计数值 二 格雷码计数器系统结构 En Rst 计数器 二进制转格雷码 数据寄存器 输出 Clk 三 格雷码计数器设计 1: 顶层 : 源代码如下 : library IEEE; use IEEE.std_logic_1164.all; entity gray_cunt is port( clk : in STD_LOGIC; en : in STD_LOGIC; rst : in STD_LOGIC; outdata : out STD_LOGIC_VECTOR(3 downto 0) end gray_cunt;

4 architecture rtl_top of gray_cunt is ---- Component declarations component b2g port ( cunt : in STD_LOGIC_VECTOR(3 downto 0 gray : out STD_LOGIC_VECTOR(3 downto 0) end component; component cunt port ( clk : in STD_LOGIC; en : in STD_LOGIC; rst : in STD_LOGIC; outdata : out STD_LOGIC_VECTOR(3 downto 0) end component; component reg port ( clk : in STD_LOGIC; en : in STD_LOGIC; gray : in STD_LOGIC_VECTOR(3 downto 0 rst : in STD_LOGIC; outdata : out STD_LOGIC_VECTOR(3 downto 0) end component; ---- Signal declarations used on the diagram ---- signal BUS60 : STD_LOGIC_VECTOR (3 downto 0 signal BUS64 : STD_LOGIC_VECTOR (3 downto Component instantiations ---- U1 : b2g port map( cunt => BUS64, gray => BUS60 U2 : cunt port map( clk => clk, en => en,

5 outdata => BUS64, rst => rst U3 : reg port map( clk => clk, en => en, gray => BUS60, outdata => outdata, rst => rst end rtl_top; 2: 计数器部分 library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity cunt is port( en : in STD_LOGIC; rst : in STD_LOGIC; clk : in STD_LOGIC; outdata : out STD_LOGIC_VECTOR(3 downto 0) end cunt; --}} End of automatically maintained section architecture cunt of cunt is signal cout:std_logic_vector(3 downto 0 -- enter your statements here -- process (rst,clk) if(rst='0') then cout<="0000"; elsif (clk'event and clk='1') if(en='1') then then

6 if (cout="1111") then cout<="0000" ; else cout<=cout+'1' ; end if; end if; end if; end process ; outdata<=cout; end cunt; 3: 二进制转格雷码部分 library IEEE; use IEEE.STD_LOGIC_1164.all; entity b2g is port( cunt : in STD_LOGIC_VECTOR(3 downto 0 gray : out STD_LOGIC_VECTOR(3 downto 0) end b2g; --}} End of automatically maintained section architecture b2g of b2g is -- enter your statements here -- gray(0) <= cunt(0) xor cunt (1 gray(1) <= cunt(1) xor cunt (2 gray(2) <= cunt(2) xor cunt (3 gray(3) <= cunt(3) xor '0'; end b2g; 4: 寄存器部分 library IEEE; use IEEE.STD_LOGIC_1164.all; entity reg is port( en: in std_logic; clk : in STD_LOGIC;

7 rst : in STD_LOGIC; gray : in STD_LOGIC_VECTOR(3 downto 0 outdata : out STD_LOGIC_VECTOR(3 downto 0) end reg; --}} End of automatically maintained section architecture reg of reg is -- enter your statements here -- process(clk,rst) if(clk'event and clk='1')then if(en='1' and rst='1')then outdata<=gray; elsif(en='0')then null; elsif(rst='0')then outdata<="0000"; end if; else null; end if; end process; end reg; 四 功能仿真 仿真工具为 :ACTIVE-HDL6.1 格雷码计数器仿真波形如下 :

8 五 DC 综合 : 1: 网表文件 (gray_cunt_dc.v): module b2g ( cunt, gray input [3:0] cunt; output [3:0] gray; wire \cunt[3]; assign \cunt[3] = cunt[3]; assign gray[3] = \cunt[3] ; XO02D1 U7 (.A(cunt[2]),.B(\cunt[3] ),.Y(gray[2]) XO02D1 U8 (.A(cunt[0]),.B(cunt[1]),.Y(gray[0]) XO02D1 U9 (.A(cunt[1]),.B(cunt[2]),.Y(gray[1]) endmodule module cunt ( en, rst, clk, outdata output [3:0] outdata; input en, rst, clk; n168, n179; wire n181, n180, n158, n159, n160, n161, n162, n164, n166, n167, n169, n170, n171, n172, n173, n174, n175, n176, n177, n178, IN01D1 U41 (.A(en),.YN(n170) IN01D1 U42 (.A(n172),.YN(n174) XN02D1 U43 (.A(en),.B(outdata[0]),.YN(n159) IN01D2 U44 (.A(n159),.YN(n160)

9 IN01D1 U45 (.A(n168),.YN(n176) IN01D1 U46 (.A(n167),.YN(n171) OA04D1 U47 (.A1(n167),.A2(n173),.B(outdata[3]),.YN(n169) DFCTNH\cout_reg[3] (.CK(clk),.Q(outdata[3]),.QN(n158),.CDN(rst),.D( n177) DFCTNH\cout_reg[0] (.CK(clk),.Q(outdata[0]),.QN(n161),.CDN(rst),.D( n160) IN01D2 U48 (.A(n162),.YN(outdata[2]) MX21D2 U49 (.A0(n175),.A1(n173),.S(outdata[2]),.Y(n178) IN01D2 U50 (.A(n164),.YN(outdata[1]) MX21D2 U51 (.A0(n176),.A1(n172),.S(n181),.Y(n179) ND02D1 U52 (.A(n174),.B(outdata[1]),.YN(n173) AN02D1 U53 (.A(n176),.B(outdata[1]),.Y(n175) IN01D1 U54 (.A(n181),.YN(n166) OR03D1 U55 (.A(n162),.B(n158),.C(n166),.Y(n167) OR03D1 U56 (.A(n170),.B(n161),.C(n171),.Y(n168) ND02D2 U57 (.A(en),.B(outdata[0]),.YN(n172) OA16D2 (.A1(n180),.A2(n168),.A3(n166),.B(n169),.YN(n177) DFCTNB (.CK(clk),.Q(n180),.QN(n162),.CDN(rst),.D(n178) U58 \cout_reg[2] DFCTNB (.CK(clk),.Q(n181),.QN(n164),.CDN(rst),.D(n179) \cout_reg[1]

10 endmodule module \reg ( en, clk, rst, gray, outdata output [3:0] outdata; input [3:0] gray; input en, clk, rst; wire n22, net22, net23, net24, net25, n23, n24, n25, n26; OA09D2 (.A1(gray[0]),.A2(n22),.B1(en),.B2(net25),.YN(n26) OA09D2 (.A1(gray[1]),.A2(n22),.B1(en),.B2(net24),.YN(n25) OA09D2 (.A1(gray[2]),.A2(n22),.B1(en),.B2(net23),.YN(n24) OA09D2 (.A1(gray[3]),.A2(n22),.B1(en),.B2(net22),.YN(n23) U17 U18 U19 U20 ND02D2 U21 (.A(en),.B(rst),.YN(n22) DFNTNB (.CK(clk),.Q(outdata[3]),.QN(net22),.D(n23) DFNTNB (.CK(clk),.Q(outdata[2]),.QN(net23),.D(n24) DFNTNB (.CK(clk),.Q(outdata[1]),.QN(net24),.D(n25) DFNTNB (.CK(clk),.Q(outdata[0]),.QN(net25),.D(n26) \outdata_reg[3] \outdata_reg[2] \outdata_reg[1] \outdata_reg[0] endmodule

11 module gray_cunt ( clk, en, rst, outdata output [3:0] outdata; input clk, en, rst; wire \BUS64[3], \BUS64[2], \BUS64[1], \BUS64[0], \BUS60[3], \BUS60[1], \BUS60[2], \BUS60[0] ; b2g U1 (.cunt({\bus64[3], \BUS64[2], \BUS64[1], \BUS64[0] }),.gray({ \BUS60[3], \BUS60[2], \BUS60[1], \BUS60[0] }) cunt U2 (.en(en),.rst(rst),.clk(clk),.outdata({\bus64[3], \BUS64[2], \BUS64[1], \BUS64[0] }) \reg U3 (.en(en),.clk(clk),.rst(rst),.gray({\bus60[3], \BUS60[2], Endmodule 六 : 综合后仿真 : \BUS60[1], \BUS60[0] }),.outdata(outdata) 1:testbench 文件如下 : `timescale 1ns/10ps module gray_cunt_tb; //Internal signals declarations: reg clk; reg en; reg rst; wire [3:0]outdata; // Unit Under Test port map gray_cunt UUT (

12 .clk(clk),.en(en),.rst(rst),.outdata(outdata) initial $sdf_annotate("gray_cunt_dc.sdf",uut $shm_open ("shm.db" $shm_probe ("AC" clk =0; en=0; rst=1; end always #20 clk = ~clk; initial #2 rst = 1'b1; #53 en = 1'b0; #153 en = 1'b1; #153 rst = 1'b0; #153 rst = 1'b1; #2300 en = 1'b0; #153 rst = 1'b0; #100 $stop; end endmodule 2: 波形图 :

13 七 自动布局布线 core 自动布局布线后的仿真波形图如下 : 加 PAD 后的自动布局布线结果 :

14 八 MPW 加工 本项目选择 CSMC 0.5um 工艺, 采用 MPW 模式加工 芯片加 工后的实物照片如下图所示

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F

8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F 8 电梯控制器的设计 本节采用 VHDL 语言设计一个电梯控制器, 具备民用电梯的基本功能 8.1 设计要求 设计一个单轿厢电梯控制器, 该电梯可以控制电梯完成 10 个以下楼层的载客服务, 并具有以下功能 : ⑴. 每层电梯入口均设有电梯上下运行请求按钮, 轿厢内设有楼层选择开关 ⑵. 电梯具备提前关门和延时关门功能, 可根据乘客的请求进行时间调整 ⑶. 能够显示电梯的运行情况 楼层间的运行时间以及电梯所在楼层的等待时间

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

38 47995529 威 福 髮 藝 店 桃 園 市 蘆 竹 區 中 山 里 福 祿 一 街 48 號 地 下 一 樓 50,000 獨 資 李 依 純 105/04/06 府 經 登 字 第 1059003070 號 39 47995534 宏 品 餐 飲 桃 園 市 桃 園 區 信 光 里 民

38 47995529 威 福 髮 藝 店 桃 園 市 蘆 竹 區 中 山 里 福 祿 一 街 48 號 地 下 一 樓 50,000 獨 資 李 依 純 105/04/06 府 經 登 字 第 1059003070 號 39 47995534 宏 品 餐 飲 桃 園 市 桃 園 區 信 光 里 民 1 08414159 惠 鴻 眼 鏡 行 桃 園 市 中 壢 區 福 德 里 中 華 路 一 段 186 號 1 樓 30,000 獨 資 宋 耀 鴻 105/04/27 府 經 登 字 第 1059003866 號 2 17891110 承 元 冷 氣 空 調 工 程 行 桃 園 市 桃 園 區 中 德 里 國 際 路 1 段 98 巷 50 號 2 樓 之 4 200,000 獨 資 詹 安 平

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的 寻 访 名 城 前 诗 学 符 号 的 原 乡 要 要 叶 名 城 往 事 记 忆 之 旅 曳 总 序 海 男 呈 现 在 我 们 眼 前 的 这 套 叶 名 城 往 事 记 忆 之 旅 曳 丛 书 袁 从 一 开 始 就 打 开 了 时 间 地 图 和 历 史 相 遇 中 的 旅 行 线 路 遥 在 这 个 逐 渐 丧 失 记 忆 力 和 想 象 力 的 二 十 一 世 纪 袁 重 新 回 到 原

More information

壹、摘 要

壹、摘  要 彰 化 縣 102 年 度 國 民 中 小 學 學 生 獨 立 研 究 作 品 徵 選 作 品 說 明 書 作 品 編 號 : 組 別 : 國 小 高 年 級 組 ( 四 五 六 年 級 ) 國 中 組 數 學 類 自 然 與 生 活 科 技 類 人 文 社 會 類 作 品 名 稱 : 山 水 之 間 ~ 福 佬 客 ( 山 ) 在 閩 南 族 群 ( 水 ) 的 尋 根 第 一 階 段 研 究 訓

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路 数字电路与系统设计 EDA 实验 VHDL 设计初步 主讲 : 杨明磊 Email: mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室 2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

Microsoft Word - xiuxinduanyu-2-doc.doc

Microsoft Word - xiuxinduanyu-2-doc.doc 明 慧 专 题 文 章 汇 编 这 些 文 章 是 各 位 大 法 弟 子 作 者 在 各 自 在 修 炼 过 程 中 在 当 时 所 在 层 次 的 认 识 ; 我 们 收 集 成 册, 谨 供 不 经 常 访 问 明 慧 网 的 同 修 参 考 师 父 的 法 才 是 指 导 大 法 弟 子 修 炼 提 高 的 根 本, 广 泛 阅 读 明 慧 网 上 更 多 同 修 的 修 炼 交 流, 能

More information

4.1 VHDL VHDL 4-1 a b & c 4-1 2

4.1 VHDL VHDL 4-1 a b & c 4-1 2 4.1 VHDL 4.2 VHDL 4.3 VHDL 4.4 VHDL 4.5 1 4.1 VHDL 4.1.1 VHDL 4-1 a b & c 4-1 2 ( 4-1 ) (1) a b c ( 1 ) (2) c=a b CPU VHDL 3 VHDL 4-2 a b & c a c b c a b 4-2 VHDL 4 1 ENTITY IS d0 & 1 q END d1 & sel 1

More information

合金投资年报正文.PDF

合金投资年报正文.PDF 1999 1 1999 2. 3. 4. 5. 2 1999 3 1999 (1) 4 1999 5 1999 6 1999 7 1999 8 1999 9 1999 10 1999 11 1999 12 1999 13 1999 14 1999 15 1999 16 1999 17 1999 18 1999 19 1999 20 1999 21 1999 22 1999 23 1999 24 1999

More information

从 宾 馆 到 又 一 城 是 十 五 分 钟, 从 又 一 城 到 邵 逸 夫 是 十 分 钟, 去 时 一 路 上 坡 很 辛 苦, 回 时 一 路 下 坡 很 轻 松, 很 像 上 小 学 时 的 心 情, 这 是 最 初 几 天 最 深 的 感 受 有 段 时 间 很 少 走 校 内 的 路

从 宾 馆 到 又 一 城 是 十 五 分 钟, 从 又 一 城 到 邵 逸 夫 是 十 分 钟, 去 时 一 路 上 坡 很 辛 苦, 回 时 一 路 下 坡 很 轻 松, 很 像 上 小 学 时 的 心 情, 这 是 最 初 几 天 最 深 的 感 受 有 段 时 间 很 少 走 校 内 的 路 那 城 那 校 那 景 香 港 访 学 印 象 刘 斌 时 间 过 得 真 快, 一 转 眼 从 香 港 回 来 一 个 多 月 了 前 两 天 走 在 路 上, 看 到 一 个 逆 行 的 车 剐 了 路 人, 双 方 在 路 边 吵 得 不 可 开 交, 突 然 想 起 有 些 地 方 的 交 规 与 大 陆 不 一 样, 这 车 在 香 港 就 算 是 正 常 行 驶 了 于 是, 香 港,

More information

MCSE• .PDF

MCSE•   .PDF 3 5 5 3 5 6 3 5 7 3 5 8 3 5 9 3 6 0 3 6 1 3 6 2 3 6 3 3 6 4 3 6 5 3 6 6 367 3 6 8 3 6 9 3 7 0 3 7 1 3 7 2 3 7 3 3 7 4 3 7 5 3 7 6 3 7 7 3 7 8 3 7 9 3 8 0 3 8 1 3 8 2 383 3 8 4 3 8 5 3 8 6 3 8 7 3 8 8 3

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

第八章

第八章 CPLD 8.1 CPLD CPLD CPLD CPLD A/D D/A 0.1Hz-50MHz CPLD 1 16 BCD 2 MAX+PLUS 10.0 3 ACEX EP1K100QC208-3 4 CPLDEE-4 (1) 1000Hz 1000Hz 1MHz f=100mhz/ 8-1 1000Hz? K 8-1 212 FS 8-2 8-2 inclk 40MHz fin 0.1Hz 50MHz

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

东北证券股份有限公司关于推荐北京双杰电气股份有限公司

东北证券股份有限公司关于推荐北京双杰电气股份有限公司 东 北 证 券 股 份 有 限 公 司 关 于 推 荐 上 海 海 印 环 保 科 技 股 份 有 限 公 司 股 票 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 的 推 荐 报 告 根 据 全 国 中 小 企 业 股 份 转 让 系 统 有 限 责 任 公 司 ( 以 下 简 称 全 国 股 份 转 让 系 统 公 司 ) 下 发 的 全 国 中 小 企 业 股 份 转 让 系

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

壹、教務處

壹、教務處 參 總 務 處 一 組 織 系 統 總 務 處 組 織 架 構 圖 總 務 長 1. 總 務 會 議 2. 檔 案 保 存 鑑 定 小 組 3. 膳 食 管 理 委 員 會 4. 宿 舍 管 理 委 員 會 5. 珍 貴 動 產 不 動 產 評 審 委 員 會 6. 車 輛 管 理 委 員 會 文 書 組 出 納 組 事 務 組 保 管 組 營 繕 組 民 雄 校 區 總 務 組 駐 衛 警 察 隊

More information

Microsoft Word - EDA2006_A_Answer

Microsoft Word - EDA2006_A_Answer 大规模数字集成电路设计 试卷 A 标准答案与评分细则 ( 卷面总分 :80 分 ) 一. 名词解释 (2 分 6 题 )( 评分标准 : 给出正确英文的 2 分 / 题, 仅给中文解释 1 分 / 题 ) 1. EDA:Electronic Design Automation 2. FPGA:Field Programmable Gate-Array 3. ASIC:Application Specific

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

2008 Never Stop

2008 Never Stop 2008 Never Stop 2008Never Stop Power Your Life. 2008 Never Stop 2008 Never Stop 2008 Never Stop 2008 Never Stop 2008 Never Stop 2008 Never Stop 2008 Never Stop 2008 Never Stop 2008 Never Stop 2008 Never

More information

enews172_1

enews172_1 / http://www.cic.org.tw/login/login.jsp CIC Package Design with Allegro APD 104 IC 104 T50UHV Introduction to Conversational French - Syllabus Summer 2004 1 14 2 12 CMOS MorSensorMorFPGA DUO MorSensor

More information

FPGA 培训专家 FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n

FPGA 培训专家   FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20ns*8=160ns, 高电平持续时间是 80ns, 低电平持续时间是 80ns, 因此可以用 50Mhz 作为计数器的触发时钟, 当从 0 计数到 3

More information

Microsoft PowerPoint - chap02.ppt

Microsoft PowerPoint - chap02.ppt 第 2 章 HDL 入门指南 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn module- 模块 Verilog 描述的基本单位 用于描述电路的功能 结构及与其他 module 的通信端口 一个 module 表示一个设计, 其描述方式包括 : 数据流方式 连续赋值语句 行为方式 过程语句 结构方式 其他 module 和开关级原语 (primitive) 门级原语及用户定义的原语

More information

EDAKONXIN.PDF

EDAKONXIN.PDF - 1 - ispexpert/synario EDA/VHDL GWDD6-C a b GW48-CK GW48-CK EDA EDA README.TXT c d e f DAC0832 -/+12V 1-2 - 1 - BL7 BL7 BL6 GWDVP GW48 J3A J3B FPGA/CPLD 1 5V FPGA CPLD 2 5V FPGA/CPLD EP1K30/50/100 EPF10K30E

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

untitled

untitled 1 EDA_VHDL / 1-1 QuartusII 1-2. QuartusII 1-3. 0 1-4. 7 1-5. 8 1-6. 1-7. 32 / 1-8. QuartusII 8 1-9. QuartusII 1-10. QuartusII 1-11. 8 16 1-12. 1-13. VHDL A/D 1-14. 1-15. D/A A/D 1-16 1-17 1-18 1-19 1-20

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

表 1 96 年 全 民 健 保 各 年 齡 組 門 診 申 報 件 數 單 位 : 萬 件 % 年 齡 組 合 計 男 女 件 數 占 率 件 數 占 率 件 數 占 率 合 計 33,760 100.00 15,088 100 18,672 100.00 0-9 歲 4,749 14.07 2,

表 1 96 年 全 民 健 保 各 年 齡 組 門 診 申 報 件 數 單 位 : 萬 件 % 年 齡 組 合 計 男 女 件 數 占 率 件 數 占 率 件 數 占 率 合 計 33,760 100.00 15,088 100 18,672 100.00 0-9 歲 4,749 14.07 2, 警 察 高 齡 化 及 性 別 比 例 對 警 力 影 響 之 探 討 壹 前 言 警 察 工 作 責 任 重, 事 務 繁 雜, 具 有 辛 勞 性 危 險 性 高 壓 性 及 全 年 無 休 之 特 性, 尤 其 外 勤 員 警 夜 間 或 深 夜 執 行 取 締 酒 駕 擴 大 臨 檢 春 風 青 春 查 毒 等 專 案, 需 耗 費 大 量 體 力, 高 齡 化 所 帶 來 的 體 力 不

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

Ryobi 10 in. Sliding Compound Miter Saw With Laser Model No. TSS102L Repair Sheet 0 1 2 3 4 62 65 5 6 36 68 6 8 69 6 9 80 81 6 66 40 82 23 3 4 6 44 43 4 45 50 46 52 48 49 51 59 55 54 53 60 5 61 40 58 63

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

第 2 頁 建 議 2. 政 府 資 訊 科 技 總 監 建 議 開 立 - (a) 一 筆 為 數 4 億 7,470 萬 元 的 承 擔 額, 用 以 推 行 Wi-Fi 連 通 城 市 計 劃, 如 下 文 第 10 至 18 段 所 述, 通 過 結 合 公 私 營 合 作 及 政 府 出

第 2 頁 建 議 2. 政 府 資 訊 科 技 總 監 建 議 開 立 - (a) 一 筆 為 數 4 億 7,470 萬 元 的 承 擔 額, 用 以 推 行 Wi-Fi 連 通 城 市 計 劃, 如 下 文 第 10 至 18 段 所 述, 通 過 結 合 公 私 營 合 作 及 政 府 出 財 務 委 員 會 討 論 文 件 2016 年 5 月 13 日 基 本 工 程 儲 備 基 金 總 目 710- 電 腦 化 計 劃 政 府 資 訊 科 技 總 監 辦 公 室 新 分 目 Wi-Fi 連 通 城 市 總 目 47- 政 府 總 部 : 政 府 資 訊 科 技 總 監 辦 公 室 分 目 700 一 般 非 經 常 開 支 新 項 目 在 自 修 室 及 青 少 年 服 務 中

More information

v

v 目 录 中 国 文 化 报 1 首 届 罗 湖 戏 剧 节 开 幕 南 方 日 报 2 罗 湖 医 院 微 语 问 诊 在 深 发 布 南 方 都 市 报 3 细 数 深 圳 教 育 在 新 学 年 的 改 革 发 展 亮 点 新 学 年 先 定 个 小 目 标, 比 如 在 深 圳 办 北 大 清 华 4 弘 法 寺 福 月 送 福 深 圳 特 区 报 5 民 生 大 事 由 社 群 社 区 共

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

PowerPoint Presentation

PowerPoint Presentation Verilog HDL 的基本知识 周立功 Actel 产品线 作者简介 20 世纪 60 年代毕业于清华大学自控系计算与技术专业 北京航空航天大学教授, 主要的研究领域为嵌入式数字系统的设计 夏宇闻教授 1995 年开始筹建我国首个 EDA 实验室, 在其后十几年间为航天部设计多个复杂数字电路 2006 年至今受聘于神州龙芯集成电路设计公司担任技术顾问 概述 数字通信和自动化控制等领域的高速度发展和世界范围的高技术竞争对数字系统提出了越来越高的要求,

More information

Microsoft PowerPoint - DFD.PPT

Microsoft PowerPoint - DFD.PPT Data Flow Diagram 資 科 系 林 偉 川 DFD 一 般 的 軟 體, 最 基 本 上 可 分 為 輸 入 處 理 輸 出 三 部 份 DFD 圖 的 精 神 就 在 於 資 料 流 程 的 規 劃 各 處 理 單 元 的 動 作 並 且 可 以 作 更 深 一 步 的 切 分 2 1 DFD 之 定 義 DFD 圖 有 幾 個 符 號 : 外 部 儲 存 體 (External

More information

Microsoft PowerPoint - 104-1校務會議主席報告

Microsoft PowerPoint - 104-1校務會議主席報告 2 近 年 (2008-2015) 校 務 系 所 通 識 教 育 師 培 教 學 卓 越 環 安 體 育 性 別 交 通 檔 案 管 理 等 多 項 評 鑑 均 獲 得 績 優 之 評 價 2011 年 度 校 務 評 鑑 五 大 項 目 學 校 自 我 定 位 校 務 治 理 與 經 營 教 學 與 學 習 資 源 績 效 與 社 會 責 任 持 續 改 善 與 品 質 保 證 機 制 全 數

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

软件测试(TA07)第一学期考试

软件测试(TA07)第一学期考试 一 判 断 题 ( 每 题 1 分, 正 确 的, 错 误 的,20 道 ) 1. 软 件 测 试 按 照 测 试 过 程 分 类 为 黑 盒 白 盒 测 试 ( ) 2. 在 设 计 测 试 用 例 时, 应 包 括 合 理 的 输 入 条 件 和 不 合 理 的 输 入 条 件 ( ) 3. 集 成 测 试 计 划 在 需 求 分 析 阶 段 末 提 交 ( ) 4. 单 元 测 试 属 于 动

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

(Microsoft Word - \256g\275b\252\354\305\351\305\347.doc)

(Microsoft Word - \256g\275b\252\354\305\351\305\347.doc) 一 基 本 資 料 附 件 2 1. 參 賽 者 姓 名 : 方 慈 惠 2. 參 賽 者 ( 服 務 ) 單 位 : 國 立 台 南 女 子 高 級 中 學 3. 參 賽 者 ( 服 務 單 位 ) 地 址 : 台 南 市 大 埔 街 97 號 4. 聯 絡 電 話 : 06-2154626;06-2154608;0929082918 5. 設 計 理 念 簡 介 : 射 箭 運 動 列 入 國

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

_NT K_SC_A5_ _print.pdf

_NT K_SC_A5_ _print.pdf The power behind competitiveness Ultron NTUPS www.deltagreentech.com.cn Ultron NT ii 1 : ---------------------------------------------------------1 2 : --------------------------------------------------------------------4

More information

穨良導絡值與驗診壓力之關聯研究

穨良導絡值與驗診壓力之關聯研究 Study for the Effect of Applied Diagnosis Pressure to Ryodoraku Acupuncture Readings Study for the Effect of Applied Diagnosis Pressure to Ryodoraku Acupuncture Readings I II Abstract Ryodoraku Acupuncture

More information

方法论篇--修改稿(更新).PDF

方法论篇--修改稿(更新).PDF 1.0 140 2000/03/17 2000/03/18 yyyy/mm/dd 2000/03/17 1.00 2001-8-28 2142 VHDL... 7 1... 7 2... 7 3... 7 4... 7 5... 7 5.1 VHDL... 7 5.1.1 Identifiers)... 8 5.1.2... 9 5.1.3... 9 5.1.4.... 10 5.1.5... 12

More information

(1) (2) R800 R300 74,5 ± 4 mm ! = 90! »» »» ² Ω ² X1.5 X1.1 X1.2 X1.4 X1.3 X2.2 X2.5 X2.1 X2.4 X2.3 12 11 1 10 18 17 2 19 13 9 16 3 8 14 15 4 7 5 6 1 2 7 3 6 4 5 8 Safety Output Door monitoring Monitoring

More information