内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

Size: px
Start display at page:

Download "内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2"

Transcription

1 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1)

2 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

3 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模 两种常用 HDL VHDL:1981 年由美国国防部组织开发,1987 年成为 IEEE 标准 Verilog HDL:1983 年由 Gateway Design Automation 公司 ( 后被 Cadence 收购 ) 开发,1995 年成为 IEEE 标准 ( IEEE ) 2015/10/24 模拟与数字电路 Verilog HDL(1) 3

4 HDL 主要特征 HDL 语言既包含一些高级程序设计语言的结构形式, 同时也兼顾描述硬件线路连接的具体构件 通过使用结构级或行为级描述可以在不同的抽象层次描述设计 五个抽象层次 : 系统级 算法级 寄存器传输级 逻辑 ( 门 ) 级 电路 ( 开关 ) 级 HDL 语言是并发的, 即具有在同一时刻执行多个任务的能力 HDL 语言有时序的概念 2015/10/24 模拟与数字电路 Verilog HDL(1) 4

5 Verilog HDL 与 C 语言的比较 虽然 Verilog 的某些语法与 C 语言接近, 但存在本质上的区别 Verilog 是一种硬件语言, 最终是为了产生实际的硬件电路或对硬件电路进行仿真 C 语言是一种软件语言, 是控制硬件来实现某些功能 利用 Verilog 编程时, 要时刻记着 :Verilog 是硬件描述语言, 要将其与硬件电路对应起来 C procedures variables parameters control (if,case,?: ) Verilog modules wires/regs ports control (if,case,?: ) 2015/10/24 模拟与数字电路 Verilog HDL(1) 5

6 Verilog HDL 基本语法 空白符 ( 间隔符 ) 主要起分隔文本的作用, 可以使文本错落有致, 便于阅读与修改 包括空格 制表符 换行符及换页符 注释符 改善程序的可读性, 在编译时不起作用 多行注释符 : 以 /* 开始到 */ 结束 单行注释符 : 以 // 开始到行尾结束 2015/10/24 模拟与数字电路 Verilog HDL(1) 6

7 Verilog HDL 基本语法 ( 续 1) 关键字 Verilog 语言内部已经使用的词, 例如,module endmodule input output wire reg and 等 其中的字母都是小写, 例如 Input 不是关键字 标识符 用于对象 ( 如模块名 电路的输入与输出端口 变量等 ) 命名 以字母或下划线 _ 开始, 字母 下划线 数字等的组合 字母大小敏感, 如 in,in 是不同的标识符 不能与关键词相同 2015/10/24 模拟与数字电路 Verilog HDL(1) 7

8 Verilog HDL 基本语法 ( 续 2) 逻辑值集合 0: 低电平 逻辑 0 或 假 1: 高电平 逻辑 1 或 真 x/x: 不确定的值 ( 未知状态 ) z/z: 高阻态 常量与符号常量 2015/10/24 模拟与数字电路 Verilog HDL(1) 8

9 常量 整数型 十进制数形式表示, 例如,30-2 带基数形式表示, 格式为 : <+/-> < 位宽 > < 基数符号 > < 数值 > 基数符号 : 十进制 D/d, 二进制 B/b, 八进制 O/o, 十六进制 H/h 例如, 8 d101 5 o37 8 HeD, 8 b1001_001x 实数型常量 十进制记数法, 例如, 科学记数法, 例如,23.1e2 5E /10/24 模拟与数字电路 Verilog HDL(1) 9

10 符号常量 用参数定义语句定义一个标识符来代表一个常量 常用来定义变量的位宽及延时等 定义格式 parameter 参数名 1= 常量表达式 1, 参数名 2= 常量表达式 2, ; 例如 :parameter BIT=1, BYTE=8, PI=3.14; 2015/10/24 模拟与数字电路 Verilog HDL(1) 10

11 变量数据类型 线网 (net ) 型 : 表示元件之间的物理连线 输出值紧随输入值的变化而变化 最常用类型是 wire 寄存器 (register) 型 : 表示抽象存储元件 在赋新值以前保持原值 只能在 initial 或 always 语句中被赋值 最常用类型是 reg 定义格式 wire/reg [MSB:LSB] 变量名 1,, 变量名 n; 例如 : wire a, b; reg[3:0] state; 2015/10/24 模拟与数字电路 Verilog HDL(1) 11

12 Verilog HDL 程序基本结构 由实现特定功能的模块构成 module 模块名 ( 端口名 1, 端口名 2, ); 端口类型说明 (input, outout, inout); 参数定义 ( 可选 ); 数据类型定义 (wire, reg 等 ); 说明部分 实例化低层模块和基本门级元件 ; 连续赋值语句 (assign); 过程块结构 (initial 和 always) 行为描述语句 ; endmodule 功能描述部分顺序是任意的 2015/10/24 模拟与数字电路 Verilog HDL(1) 12

13 VerilogHDL 描述组合逻辑电路 组合逻辑电路的门级描述 使用内置的基本门级元件描述 组合逻辑电路的数据流描述 使用连续赋值 assign 语句描述 组合逻辑电路的行为级描述 使用 always 结构描述 2015/10/24 模拟与数字电路 Verilog HDL(1) 13

14 基本门级元件 元件符号功能说明元件符号功能说明 and 多输入端与门 nand 多输入端与非门 or 多输入端或门 nor 多输入端或非门 xor 多输入端异或门 xnor 多输入端异或非门 buf 多输出端缓冲器 not 多输出端反相器 bufif1 高电平有效三态缓冲器 notif1 高电平有效的 三态反相器 bufif0 低电平有效三态缓冲器 notif0 低电平有效的 三态反相器 2015/10/24 模拟与数字电路 Verilog HDL(1) 14

15 多输入门和多输出门 多输入门 : 允许多个输入, 但只有一个输出 and,or,xor,nand,nor,xnor in1 in2 in3 out 实例名可忽略 and A1(out, in1, in2, in3); 多输出门 : 允许有多个输出, 但只有一个输入 not,buf out1 实例名可忽略 in out2 not B1(out1, out2,, in); outn 2015/10/24 模拟与数字电路 Verilog HDL(1) 15

16 三态门 一个输出 一个数据输入和一个控制输入 notif0,notif1,bufif0,bufif1 in out in out ctrl bufif1 B1(out, in, ctrl); ctrl notif0 N1(out, in, ctrl); 2015/10/24 模拟与数字电路 Verilog HDL(1) 16

17 示例 Mux with Primitives module mux2_1(f, a, b, sel); output f; input a, b, sel; a b 0 1 M UX f and g1(f1, a, nsel), g2(f2, b, sel); or g3(f, f1, f2); not g4(nsel, sel); endmodule a b sel g4 sel nsel g1 g2 f1 f2 g3 f 2015/10/24 模拟与数字电路 Verilog HDL(1) 17

18 赋值语句 连续赋值语句 assign 变量名 = 赋值表达式 只能对线网型变量进行赋值, 不能对寄存器型变量进行赋值 仅用于描述组合逻辑 过程赋值语句 变量名 = 赋值表达式 只能对寄存器数据类型的变量赋值 在 always 和 initial 语句内的赋值 可用于描述组合和时序逻辑 2015/10/24 模拟与数字电路 Verilog HDL(1) 18

19 Verilog HDL 运算符 类型符号功能说明类型符号功能说明 算术运算符 + - * / % 二进制加二进制减二进制乘二进制除求模 关系运算符 > < >= <= ==!= 大于小于大于或等于小于或等于等于不等于 位运算符 ~ & ^ ^~ 或 ~^ 按位取反按位与按位或按位异或按位同或 缩位运算符 & ~& ~ ^ ^~ 或 ~^ 缩位与缩位与非缩位或缩位或非缩位异或缩位同或 逻辑运算符! && 逻辑非逻辑与逻辑或 移位运算符 2015/10/24 模拟与数字电路 Verilog HDL(1) 19 >> << 右移左移

20 示例 Mux with Assign module mux2_1(f, a, b, sel); output f; input a, b, sel; a b 0 1 M UX f assign f = (a & ~sel) (b & sel); sel endmodule a b sel f 2015/10/24 模拟与数字电路 Verilog HDL(1) 20

21 if 条件语句 表达式一般为逻辑表达式或关系表达式 对表达式的值进行判断, 若为 0,x,z, 按假处理 ; 若为 1, 则按真处理, 执行指定语句 if 和 else 后可包含单个或多个语句, 多句时用 begin-end 块语句括起来 if 语句嵌套使用时, 注意 if 与 else 的配对关系 if( 表达式 ) 语句 1; if( 表达式 ) 语句 1; else 语句 2; if( 表达式 1) 语句 1; else if( 表达式 2) 语句 2; else if( 表达式 3) 语句 3; else if( 表达式 n) 语句 n; else 语句 n+1; 2015/10/24 模拟与数字电路 Verilog HDL(1) 21

22 Case 条件语句 case ( 敏感表达式 ) 值 1: 语句 1; 值 2: 语句 2; 值 n: 语句 n; default: 语句 n+1; endcase 2015/10/24 模拟与数字电路 Verilog HDL(1) 22

23 条件语句使用要点 描述组合电路时, 应注意列出所有条件分支, 否则编译器认为条件不满足时, 会引进一个记忆单元 ( 锁存器 ) 来保持原值, 从而产生时序电路而非组合电路 由于每个变量有 4 种取值, 为包含所有分支, 可在 if 语句后加上 else; 在 case 语句后加上 default 2015/10/24 模拟与数字电路 Verilog HDL(1) 23

24 示例 Mux with Always(If ) module mux2_1(f, a, b, sel); output f; input a, b, sel; a b 0 1 M UX f reg f; sel or b or sel) if (sel) f = b; else f = a; endmodule 2015/10/24 模拟与数字电路 Verilog HDL(1) 24

25 示例 Mux with Always(Case) module mux2_1(f, a, b, sel); output f; input a, b, sel; reg f; or b or sel) a b 0 1 sel M UX f case (sel) 1'b1: f = b; default: f = a; endcase endmodule 2015/10/24 模拟与数字电路 Verilog HDL(1) 25

26 The End 2015/10/24 模拟与数字电路 Verilog HDL(1) 26

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 7 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 2017 年春 6 Verilog 硬件描述语言 6.1 硬件描述语言简介 6.2 Verilog HDL 与 C 语言 6.3 Verilog 的数据类型 6.4 Verilog 运算符及优先级 6.5 Verilog 模块的结构 6.6 Verilog 设计的层次与风格 6.7 Verilog 行为语句

More information

PowerPoint Presentation

PowerPoint Presentation Verilog HDL 的基本知识 周立功 Actel 产品线 作者简介 20 世纪 60 年代毕业于清华大学自控系计算与技术专业 北京航空航天大学教授, 主要的研究领域为嵌入式数字系统的设计 夏宇闻教授 1995 年开始筹建我国首个 EDA 实验室, 在其后十几年间为航天部设计多个复杂数字电路 2006 年至今受聘于神州龙芯集成电路设计公司担任技术顾问 概述 数字通信和自动化控制等领域的高速度发展和世界范围的高技术竞争对数字系统提出了越来越高的要求,

More information

Microsoft PowerPoint - chap02.ppt

Microsoft PowerPoint - chap02.ppt 第 2 章 HDL 入门指南 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn module- 模块 Verilog 描述的基本单位 用于描述电路的功能 结构及与其他 module 的通信端口 一个 module 表示一个设计, 其描述方式包括 : 数据流方式 连续赋值语句 行为方式 过程语句 结构方式 其他 module 和开关级原语 (primitive) 门级原语及用户定义的原语

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

幻灯片 1

幻灯片 1 Verilog 红宝书 _ 基本语法 阿东 恒创科技 简介 大家可以叫我阿东, 我在通信行业做了 6 年的芯片设计, 做了几款大型路由器和交换机芯片, 写了 6 年的 Verilog, 对 Verilog 是熟悉的不能再熟悉了, 对数据通信 QOS 有深入研究和实现, 精通数据通信各种协议, 对通信网络有较深理解 精通 ASIC FPGA 和 Verilog 架构 方案 实现设计 希望我的经历能让大家掌握项目开发的编码规范和方案设计,

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

数字逻辑设计2013

数字逻辑设计2013 第四讲 Verilog, FPGA, Lab 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/28spring 课程回顾 : 布尔函数 将一个开关函数 f 对于其变量每种可能取值的结果用表的形式表示 对应逻辑 真 ; 对应逻辑 假 三个基本函数 : 与 (AND) 或 (OR) 非 (NOT) 的真 值表 a b f(a,

More information

Microsoft PowerPoint - chap05

Microsoft PowerPoint - chap05 第 5 章门级建模 -Verilog 内置基本门 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn 信号强度 (10.12 节 ) 信号除了 4 个基本值以外, 还可以指定强度 强度分为驱动强度和电荷强度 驱动强度 : 指门级元件输出端的驱动强度, 当一条线接多个输出时, 各个输出的驱动强度不同将最终决定连线的逻辑状态 可以在 3 种情况下为线网指定驱动强度 线网声明赋值语句中的线网变量

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA33BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA33BDB22E BBCE6C8DDC4A3CABD5D> 第 3 讲 Verilog HDL 卓越工程师 EDA 技术及应用 Tu Qiu 1 第 4 章 Verilog HDL 本章概要 : 本章介绍硬件描述语言 Verilog HDL 的语言规则 数据类型和语句结构, 并介绍最基本 最典型的数字逻辑电路的 Verilog HDL 描述, 作为 Verilog HDL 工程设计的基础 知识要点 : (1)Verilog HDL 设计模块的基本结构 (2)Verilog

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路 数字电路与系统设计 EDA 实验 VHDL 设计初步 主讲 : 杨明磊 Email: mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室 2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D

More information

数字逻辑设计2013

数字逻辑设计2013 第三讲逻辑门电路 ogic Gte Circuit 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digitl/2spring 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2 如何做一个能计算的设备?

More information

第一部分 Verilog HDL 语言 10.1 综述 一. 什么是硬件描述语言? 硬件描述语言是一种用文本形式来描述和 设计电路的语言 是硬件设计人员和电子设计 自动化 (EDA EDA) 工具之间的界面 P.2

第一部分 Verilog HDL 语言 10.1 综述 一. 什么是硬件描述语言? 硬件描述语言是一种用文本形式来描述和 设计电路的语言 是硬件设计人员和电子设计 自动化 (EDA EDA) 工具之间的界面 P.2 第十章 Verilog HDL 语言初步 第一部分 Verilog HDL 语言 第二部分 VerilogHDL 程序设计 第三部分 MAX+plus II 与 Verilog HDL 2007-12-7 P.1 第一部分 Verilog HDL 语言 10.1 综述 一. 什么是硬件描述语言? 硬件描述语言是一种用文本形式来描述和 设计电路的语言 是硬件设计人员和电子设计 自动化 (EDA EDA)

More information

<4D F736F F D BCBCCAF5BCB0D3A6D3C3B5E7D7D3BDCCB0B8B5DA33BDB22E646F63>

<4D F736F F D BCBCCAF5BCB0D3A6D3C3B5E7D7D3BDCCB0B8B5DA33BDB22E646F63> 第 3 讲 Verilog HDL 3.1 Verilog HDL 入门 3.1.1 Verilog HDL 程序模块结构 3.1.1 模块端口定义模块端口定义用来声明设计电路模块的输入输出端口, 端口定义格式如下 module 模块名 ( 端口 1, 端口 2, 端口 3, ); 在端口定义的圆括弧中, 是设计电路模块与外界联系的全部输入输出端口信号或引脚, 它是设计实体对外的一个通信界面, 是外界可以看到的部分

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

PowerPoint Presentation

PowerPoint Presentation 第三章 Verilog HDL 语句与语法 3.1 Verilog 模块结构 Verilog 基本设计单元是 模块 (module) 一个模块是由两部分组成, 一部分描述接口, 另一部分描述功能 端口定义 : 内部信号说明 : 功能定义 : 各种语句完成逻辑功能 always 通常时序 assign 通常组合逻辑 全加器 module adder(cout,sum,a,b,cin);// 端口定义

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 单总线温度传感器驱动 王安然 STEP FPGA DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动 DS18B20Z 配置 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 : DS18B20Z 指令 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册

More information

计算概论A B03 C++语言的基本成分 - 运算成分(2)

计算概论A B03 C++语言的基本成分 - 运算成分(2) 计算概论 A 程序设计部分 C 语言的构成成分 运算成分 李戈 北京大学信息科学技术学院软件研究所 lige@sei.pku.edu.cn C 语言中的运算符 C 语言的运算符范围很宽 求字节数运算符 : sizeof 下标运算符 [ ] 赋值运算符 = 算术运算符 + - * / % 关系运算符 < > == >= > ~

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

Untitled

Untitled 429_1 429_2 429_3 429_4 1 429_5 429_6 429_7 429_8 2 429_9 429_10 429_11 429_12 3 429_13 429_14 429_15 4 429_16 429_17 429_18 5 429_19 429_20 429_21 429_22 6 429_23 429_24 429_25 7 429_26 429_27 429_28

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

亮麗水顏

亮麗水顏 口 夏 口 亀 喘 嗽 之 論 治 演 講 者 : 和 平 中 醫 聯 合 診 所 李 阿 立 醫 師 時 間 :101/08/12 14:00~15:30 地 點 : 臺 中 市 大 墩 文 化 中 心 李 院 長 小 檔 案 62 年 度 國 家 考 試 中 醫 師 特 種 考 試 及 格 台 中 市 中 醫 師 公 會 第 十 五 屆 理 事 長 和 平 中 醫 醫 院 創 院 院 長 日 本

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Ctpu

Ctpu 二 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 2010 年 8 月 1 日 至 2011 年 7 月 31 日 期 间 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 如 下 : * 奥 地 利 海 因 茨 菲 舍 尔 先 生 ( 奥 地 利 联 邦 总 统 ) 米 夏 埃 尔 施 平 德 埃 格

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

(3) (4) ( ) 6 ( ) (1) (2) 7 ( ) 71 ( ) ( ) ( ) 72 ( ) ( ) ( ) 102 (1) (2) (3) (4) ( ) (5) (6) 103 2

(3) (4) ( ) 6 ( ) (1) (2) 7 ( ) 71 ( ) ( ) ( ) 72 ( ) ( ) ( ) 102 (1) (2) (3) (4) ( ) (5) (6) 103 2 GF-2003-0214 ( ( ) ( ) ( ) ( ( ) ) 1 2 3 4 ( ) 5 (1) (2) 1 (3) (4) ( ) 6 ( ) (1) (2) 7 ( ) 71 ( ) ( ) ( ) 72 ( ) ( ) 8 81 9 91 92 10 101 ( ) 102 (1) (2) (3) (4) ( ) (5) (6) 103 2 104 105 106 107 108 11

More information

VN-Cover

VN-Cover IP Verification 國立中山大學資訊工程學系 黃英哲 nlint - Rule Checker Course Objects Rule Definition nlint Utilizing 中山大學資工系黃英哲 3 Rule Definition Rule Group Coding style Language Construct Design style DFT Simulation

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

,,,,,,,,,,,,, :,, ;,,,,, ( ),,,, : ( ) ; ( ) ; ( ) ( ) ; ( ) ( A ) ; ( ) ( ),,,,,,, 80

,,,,,,,,,,,,, :,, ;,,,,, ( ),,,, : ( ) ; ( ) ; ( ) ( ) ; ( ) ( A ) ; ( ) ( ),,,,,,, 80 1996 2 ( ), :,, = = : A BX A B X, A B A B,, : a A B A B ; b A B :, : a, b, A BX= A B X X,,, :,,,,,,, 79 ,,,,,,,,,,,,, :,, ;,,,,, ( ),,,, : ( ) ; ( ) ; ( ) ( ) ; ( ) ( A ) ; ( ) ( ),,,,,,, 80 ,,,, (,, )

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

6寸PDF生成工具

6寸PDF生成工具 与 狼 为 邻 纪 莹 文 案 啊 她 该 把 握 机 会 亲 近 和 她 比 邻 而 居 的 偶 像 才 是 可 她 成 然 搞 砸 了! 每 每 见 雷 绪 噙 着 笑 朝 她 欺 近, 她 就 慌 得 破 口 大 骂 挥 拳 相 向 为 免 铸 成 大 错, 她 强 迫 自 己 和 他 保 持 安 全 距 离, 偏 离 男 人 总 是 神 出 鬼 没! 这 会 儿 他 不 但 摇 身 一 变

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

没有幻灯片标题

没有幻灯片标题 第 2 章 C 语言的基本数据类型与表达 式 2. 1 C 语言的语法基础 2. 2 C 语言的基本数据类型 2. 3 常量和变量 2. 4 运算符与表达式 2. 5 数据类型转换 用 第 2 章 C 语言的基本数据类型与表达 2.1 C 语言的语法基础 2. 1. 1 C 语言字符集 式 C 语言的基本符号可分 4 个类, 归纳如下 : (1) 英文字母 : 大小写各 26 个, 共计 52 个

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 第 2 章 Java 语言基础 通过本章的实践, 要掌握 Java 中的标识符 关键字 常量, 熟练掌握算术 关 系 逻辑 条件 赋值 位运算符的使用, 掌握简单顺序结构的程序设计 2.1 典型习题解答 2.1 Java 中怎样进行注释? 解答 Java 语言中的注释有 3 种形式 : (1) 单行 : // (2) 多行 : /* */ (3) 文档注释 : /** */ 第三种形式是第二种形式的变形,

More information

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感 桃 園 市 復 旦 國 民 小 學 104 學 年 度 學 校 課 程 計 畫 壹 依 據 貳 目 的 一 教 基 本 法 第 13 條, 國 民 教 法 第 4 條 二 教 部 92 公 佈 之 國 民 中 小 學 九 年 一 貫 課 程 綱 要 三 桃 園 市 政 府 推 動 國 民 中 小 學 九 年 一 貫 課 程 實 施 計 畫 四 桃 園 市 政 府 97.5.29 府 教 數 字 第

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

<4D6963726F736F667420576F7264202D20313034B0EABB79A4E5B8D5C344BBBCB065AAA9>

<4D6963726F736F667420576F7264202D20313034B0EABB79A4E5B8D5C344BBBCB065AAA9> 嘉 義 縣 104 年 新 港 溪 北 六 興 宮 正 黑 麵 三 媽 盃 小 六 學 藝 競 試 國 文 試 卷 一 一 般 選 擇 題 : 1. 下 列 選 項 中, 哪 一 組 字 的 讀 音 是 相 同 的?(A) 躡 足 / 攝 影 (B) 淒 慘 / 妻 兒 (C) 漠 不 關 心 / 眼 角 膜 (D) 韋 編 / 偉 人 2. 下 列 內 的 部 首, 何 者 正 確?(A) 黎 明

More information

凡 例 一 高 淳 县 历 史 悠 久, 文 物 古 迹 颇 丰, 为 全 面 系 统 地 保 存 各 类 文 物 资 料, 介 绍 文 物 工 作 情 况, 达 到 教 育 后 人, 提 供 专 业 研 究 的 目 的, 特 编 纂 本 志 二 本 志 采 用 记 志 述 图 表 等 多 种 体 裁, 翔 实 记 载 高 淳 县 自 旧 石 器 时 代 至 民 国 年 间 的 文 化 遗 存 文

More information

康體藝術

康體藝術 320 321 0.12% (340 ) 3.44% (1.001 ) 0.30% (860 ) 5.93% (7.542 ) 7.83% (2.277 ) ( 7,960 1,810 ) 3.36% (9,770 ) 9.08% (2.642 ) 20.27% (5.898 ) ( ) 29.67% (8.63 ) 322 π 323 324 325 326 327 328 329 330 331

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

黄 永 润 带 队 参 加 市 场 营 销 与 物 流 管 理 培 训 班... 6 黄 永 润 出 席 茶 业 集 团 春 茶 生 产 经 营 分 析 会... 6 大 明 山 茶 文 化 生 态 家 园 项 目 签 约 仪 式 在 邕 举 行... 7 广 西 职 业 技 术 学 院 开 展 庆

黄 永 润 带 队 参 加 市 场 营 销 与 物 流 管 理 培 训 班... 6 黄 永 润 出 席 茶 业 集 团 春 茶 生 产 经 营 分 析 会... 6 大 明 山 茶 文 化 生 态 家 园 项 目 签 约 仪 式 在 邕 举 行... 7 广 西 职 业 技 术 学 院 开 展 庆 ( 第 34 期 ) 广 西 壮 族 自 治 区 农 垦 局 办 公 室 编 2015 年 7 月 9 日 本 期 目 录 重 要 信 息 广 西 农 垦 与 贵 港 市 垦 地 合 作 座 谈 会 在 邕 举 行... 1 广 西 南 方 机 电 技 术 师 资 培 训 与 技 术 服 务 中 心 落 户 广 职 院... 1 刘 刚 到 防 城 精 制 糖 公 司 调 研... 2 糖 业 集

More information

中山市**局2005年部门预算基本情况说明

中山市**局2005年部门预算基本情况说明 中 山 市 文 化 广 电 新 闻 出 版 局 2016 年 部 门 预 算 情 况 一 单 位 基 本 情 况 本 单 位 主 要 履 行 的 公 共 职 能 包 括 : 1 贯 彻 执 行 国 家 和 省 市 有 关 文 化 广 播 电 视 新 闻 出 版 版 权 的 方 针 政 策 和 法 律 法 规, 把 握 正 确 的 舆 论 导 向 和 创 作 导 向 拟 定 文 化 广 播 电 视 新

More information

1811 1884 1847 1860 1862 1871 1875 1823 1884 1851 1876 1878 1879 1880 1884 20 1858 1861 1864 1862

1811 1884 1847 1860 1862 1871 1875 1823 1884 1851 1876 1878 1879 1880 1884 20 1858 1861 1864 1862 1768 1842 1788 1842 1766 1848 1805 1864 1853 1862 1811 1884 1847 1860 1862 1871 1875 1823 1884 1851 1876 1878 1879 1880 1884 20 1858 1861 1864 1862 1833 1884 1855 1861 500 1862 1864 1868 1875 1829 1888

More information

<4D6963726F736F667420576F7264202D203234A8E2A9A4B142ABC3AABAB7C5B1A1ADB1BB50AA6BA8EEADB1>

<4D6963726F736F667420576F7264202D203234A8E2A9A4B142ABC3AABAB7C5B1A1ADB1BB50AA6BA8EEADB1> 專 題 論 述 兩 岸 婚 姻 的 溫 情 面 與 法 制 面 蔡 漢 賢 林 姹 君 壹 引 言 一 九 八 七 年 十 一 月 政 府 開 放 臺 灣 地 區 人 民 赴 大 陸 探 親, 兩 岸 婚 姻 關 係 隨 即 興 起 波 瀾, 舊 有 的 再 續 連 理 枝, 新 增 的 添 加 了 不 少 比 翼 鳥, 兼 之 近 年 臺 商 西 進, 大 陸 成 為 臺 灣 最 大 出 口 市

More information

机动车驾驶证申领和使用规定(公安部令第91号)

机动车驾驶证申领和使用规定(公安部令第91号) 机 动 车 驾 驶 证 申 领 和 使 用 规 定 中 华 人 民 共 和 国 公 安 部 令 第 91 号 现 发 布 修 订 后 的 机 动 车 驾 驶 证 申 领 和 使 用 规 定, 自 2007 年 4 月 1 日 起 施 行 公 安 部 部 长 周 永 康 二 OO 六 年 十 二 月 二 十 日 目 录 第 一 章 总 则 第 二 章 机 动 车 驾 驶 证 的 申 领 第 一 节 机

More information

<4D6963726F736F667420576F7264202D20A2B0A1D0A2B0A1D0A2B0A240A6CBAA4FC554BC4DB7ABA240A7D6AA4FBD6DB2DF2E646F63>

<4D6963726F736F667420576F7264202D20A2B0A1D0A2B0A1D0A2B0A240A6CBAA4FC554BC4DB7ABA240A7D6AA4FBD6DB2DF2E646F63> 節 拍 與 文 字 的 遊 戲 \ 板 眼 旋 律 板 眼 : 傳 統 術 語, 即 節 拍 之 意 以 強 弱 區 別, 強 拍 叫 板, 弱 拍 叫 眼 在 板 書 類 的 曲 種 中, 以 前 半 拍 與 後 半 拍 組 成 一 拍, 結 合 文 字 數 唱, 形 成 各 種 板 式 為 了 學 習 的 方 便, 我 們 將 前 半 拍 叫 板, 後 半 拍 叫 眼 數 唱 : 傳 統 術 語,

More information

Microsoft Word - 02 002207 薪酬管理制度.doc

Microsoft Word - 02 002207 薪酬管理制度.doc 新 疆 准 东 石 油 技 术 股 份 有 限 公 司 薪 酬 管 理 制 度 第 一 部 分 员 工 工 资 管 理 办 法 第 一 条 第 一 章 总 则 为 了 建 立 与 现 代 企 业 制 度 相 适 应 的 薪 酬 分 配 体 系, 调 动 员 工 积 极 性, 提 高 工 作 效 率, 激 励 员 工 为 公 司 创 造 更 高 的 价 值, 增 强 新 疆 准 东 石 油 技 术 股

More information

利 润 预 计 为 360,216.20 万 元 ; 假 设 2016 年 归 属 母 公 司 所 有 者 的 净 利 润 较 2015 年 度 预 测 值 的 基 础 上 增 长 15%, 为 414,248.63 万 元 上 述 利 润 值 不 代 表 公 司 对 未 来 利 润 的 盈 利 预

利 润 预 计 为 360,216.20 万 元 ; 假 设 2016 年 归 属 母 公 司 所 有 者 的 净 利 润 较 2015 年 度 预 测 值 的 基 础 上 增 长 15%, 为 414,248.63 万 元 上 述 利 润 值 不 代 表 公 司 对 未 来 利 润 的 盈 利 预 证 券 代 码 :600177 证 券 简 称 : 雅 戈 尔 公 告 编 号 :2015-078 雅 戈 尔 集 团 股 份 有 限 公 司 关 于 非 公 开 发 行 A 股 股 票 摊 薄 即 期 收 益 及 填 补 措 施 ( 修 订 稿 ) 的 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 的 真 实 准 确 和 完 整, 对 公 告 的 虚 假 记 载 误

More information

<4D6963726F736F667420576F7264202D203033C8ABC8D5D6C6B1BEA1A2D7A8BFC6C9FAD1A7B7D6D6C6D1A7BCAEB9DCC0EDB9E6B6A82E646F63>

<4D6963726F736F667420576F7264202D203033C8ABC8D5D6C6B1BEA1A2D7A8BFC6C9FAD1A7B7D6D6C6D1A7BCAEB9DCC0EDB9E6B6A82E646F63> 上 海 对 外 贸 易 学 院 全 日 制 本 专 科 生 学 分 制 学 籍 管 理 规 定 第 一 章 总 则 第 一 条 为 维 护 学 校 正 常 的 教 学 秩 序, 保 障 学 生 的 学 习 权 利 和 义 务, 规 范 学 籍 管 理 行 为, 根 据 中 华 人 民 共 和 国 高 等 教 育 法 和 教 育 部 普 通 高 等 学 校 学 生 管 理 规 定 等 文 件, 结 合

More information

黄 河 两 岸 耸 立 着 万 丈 高 山 战 士 们 站 在 河 畔 仰 起 头 看, 天 像 一 条 摆 动 的 长 带 子 人 要 站 在 河 两 岸 的 山 尖 上, 说 不 定 云 彩 就 从 耳 边 飞 过, 伸 手 也 能 摸 着 冰 凉 的 青 天 山 峡 中, 浑 黄 的 河 水

黄 河 两 岸 耸 立 着 万 丈 高 山 战 士 们 站 在 河 畔 仰 起 头 看, 天 像 一 条 摆 动 的 长 带 子 人 要 站 在 河 两 岸 的 山 尖 上, 说 不 定 云 彩 就 从 耳 边 飞 过, 伸 手 也 能 摸 着 冰 凉 的 青 天 山 峡 中, 浑 黄 的 河 水 保 卫 延 安 作 者 : 杜 鹏 程 正 文 第 一 章 延 安 字 数 :39132 一 一 九 四 七 年 三 月 开 初, 吕 梁 山 还 是 冰 天 雪 地 西 北 风 滚 过 白 茫 茫 的 山 岭, 旋 转 啸 叫 黄 灿 灿 的 太 阳 光 透 过 干 枯 的 树 枝 -- 照 在 雪 地 上, 花 花 点 点 的 山 沟 里 寒 森 森 的, 大 冰 凌 像 帘 子 一 样 挂 在

More information

Microsoft Word - 雲林縣學校轉型優質計畫.docx

Microsoft Word - 雲林縣學校轉型優質計畫.docx 雲 林 縣 學 校 轉 型 優 質 計 畫 97.06.10 府 教 國 字 第 0970403986 號 函 102.05.23 府 教 國 字 第 1025413652 號 函 修 訂 壹 依 據 : 一 教 育 基 本 法 第 13 條 二 雲 林 縣 政 府 97.06.10 府 教 國 字 第 0970403986 號 函 頒 雲 林 縣 學 校 轉 型 優 質 實 施 計 畫 貳 目 標

More information

<4D6963726F736F667420576F7264202D20BDADCBD5CAA1B9A9CFFABACFD7F7D7DCC9E7BCF2B1A8B5DA3239C6DA2E646F63>

<4D6963726F736F667420576F7264202D20BDADCBD5CAA1B9A9CFFABACFD7F7D7DCC9E7BCF2B1A8B5DA3239C6DA2E646F63> 江 苏 省 供 销 合 作 总 社 简 报 第 29 期 江 苏 省 供 销 合 作 总 社 办 公 室 编 2016 年 8 月 17 日 无 锡 市 农 产 品 经 纪 人 协 会 着 力 提 升 行 业 服 务 功 能 近 年 来, 无 锡 市 农 产 品 经 纪 人 协 会 通 过 构 建 农 产 品 经 纪 人 工 作 平 台, 在 农 民 与 政 府 之 间 农 民 与 市 场 之 间

More information

<4D6963726F736F667420576F7264202D20C3F1D3C3BBFAB3A1BDA8C9E8B9DCC0EDB9E6B6A8B7A2B2BCB8E52E646F63>

<4D6963726F736F667420576F7264202D20C3F1D3C3BBFAB3A1BDA8C9E8B9DCC0EDB9E6B6A8B7A2B2BCB8E52E646F63> 中 国 民 用 航 空 总 局 令 第 129 号 民 用 机 场 建 设 管 理 规 定 已 经 2004 年 10 月 12 日 中 国 民 用 航 空 总 局 局 务 会 议 通 过, 现 予 公 布, 自 2004 年 12 月 1 日 起 施 行 局 长 杨 元 元 二 四 年 十 月 十 二 日 民 用 机 场 建 设 管 理 规 定 第 一 章 总 则 第 一 条 为 加 强 民 用

More information

慈宗彌勒淨土法門的殊勝

慈宗彌勒淨土法門的殊勝 法 明 寺. 台 灣 慈 宗 學 會 印 贈 慈 宗 彌 勒 淨 土 法 門 的 殊 勝 2 目 錄 目 錄 作 者 簡 介 5 自 序 7 彌 勒 淨 土 法 門 初 探 11 彌 勒 淨 土 略 說 31 太 虛 大 師 的 彌 勒 淨 土 思 想 簡 說 -- 慈 宗 三 要 大 意 51 附 錄 印 度 佛 教 瑜 伽 學 之 綱 要 顯 揚 聖 教 論 結 構 試 析 79 太 虛 大 師

More information

5.1施工企业会计核算办法

5.1施工企业会计核算办法 法 规 标 题 : 施 工 企 业 会 计 核 算 办 法 文 号 : 财 会 [2003]27 号 发 文 单 位 : 财 政 部 发 文 日 期 :2003 年 9 月 25 日 实 施 日 期 :2004 年 1 月 1 日 财 政 部 关 于 印 发 施 工 企 业 会 计 核 算 办 法 的 通 知 财 会 (2003)27 号 国 务 院 有 关 部 委, 各 省 自 治 区 直 辖 市

More information

論文全.doc

論文全.doc 1 6 7 2 3 8 4 5 8 9 15 6 28 19 36 ~ 113 7 8 22 23 9 20 25 10 11 1990 28 29 31 32 33 35 36 12 56 13 14 144 6 150 15 16 17 1. 3 2. 18 4 19 5 20 1. 6 21 7 8 22 ( ) ( ) 9 1. 11 12 14 2. 23 24 15 16 25 19 20

More information

专科疾病诊治(十二)

专科疾病诊治(十二) ...1...13...25...43...59...67...70...72...93...99... 105... 115... 125... 137... 184... 198... 200 I 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 24 3 4 23 24 25 26 27 28 29 30 31 32 33 34

More information

2009 189 2009 2013 2009 2013 OO 2009 2013 2 2007 17 2008 2012 2008 56 1 3 2 3 4 3 4 1 5 2 1 2009 2013 2009 90% 60% 2010 2010 95% 70% 2 2011 2012 2011 100% 80% 85% 5 6 2012 95% 90% 3 2013 100% 95% 1 2 7

More information

Az b.doc

Az b.doc , , OO OO 191,904,774.12 297,110,448.79 190,753,347.38 292,972,187.76 36,045,126.94 55,629,371.31 36,045,126.94 55,629,371.31 296,286,176.11 323,860,641.25 299,904,945.80 325,041,549.49 45,460,963.46

More information

文档2

文档2 2002 40-1 2002 3 3 5 6 7 8 9 13 14 16 40 40-2 2002 1 15,642,778.15 16,946,855.96 16,982,495.67 15,984,821.37 39,850,399.31 16,346,971.89-668,554.03 / -35,639.71-4,801,281.73-16,093,628.17 40-3 2002 2 2002

More information

附件1

附件1 附 件 金 融 负 债 与 权 益 工 具 的 区 分 及 相 关 会 计 处 理 规 定 为 进 一 步 规 范 优 先 股 永 续 债 等 金 融 工 具 的 会 计 处 理, 根 据 中 华 人 民 共 和 国 会 计 法 企 业 会 计 准 则 第 22 号 金 融 工 具 确 认 和 计 量 ( 以 下 简 称 金 融 工 具 确 认 和 计 量 准 则 ) 和 企 业 会 计 准 则 第

More information

邻居啊 第二天 对门却悄无声息了 莫非昨夜的吵闹 仅是个幻觉 夜幕拉下时 寒风又吱溜溜地叫个不停 老婆 睡下后 我这只夜猫子 继续兴致勃勃地跟着福尔 摩斯去探案 白天的喧嚣退去了 周围格外安静 正 是读书的好时候 突然 响起了钟摆声 哒 哒 哒 节奏匀称 不疾不徐 声响却愈来愈大 格外突兀 了 原来

邻居啊 第二天 对门却悄无声息了 莫非昨夜的吵闹 仅是个幻觉 夜幕拉下时 寒风又吱溜溜地叫个不停 老婆 睡下后 我这只夜猫子 继续兴致勃勃地跟着福尔 摩斯去探案 白天的喧嚣退去了 周围格外安静 正 是读书的好时候 突然 响起了钟摆声 哒 哒 哒 节奏匀称 不疾不徐 声响却愈来愈大 格外突兀 了 原来 李 绍 武 过了元宵节 年味渐渐淡去 如同浓浓的香茶经过不断 冲泡 稀释 日子又寡淡稀松起来 已经立春了 而严寒还霸 气十足 迟迟不肯退场 回想起来 那天晚上还是有些不同寻常的 灰黄的日头 一落下 寒风便骤然而起 带着尖厉的哨音在夜空中横冲直 撞 撞得四下里哐哐哐乱响 这种情况下 竟然还有野猫发 情 在楼下声嘶力竭地哀嚎 让人心惊胆寒 我和老婆躺在 被窝里 看一部正热播的言情剧 俊男靓女们给爱情折磨成

More information

<4D6963726F736F667420576F7264202D20313231BAC520CAD7B6BCCAA6B7B6B4F3D1A732303135C4EAD7A8D2B5BCBCCAF5D6B0CEF1C6C0C6B8B9A4D7F7D2E2BCFB2E646F63>

<4D6963726F736F667420576F7264202D20313231BAC520CAD7B6BCCAA6B7B6B4F3D1A732303135C4EAD7A8D2B5BCBCCAF5D6B0CEF1C6C0C6B8B9A4D7F7D2E2BCFB2E646F63> 首 都 师 大 校 发 2015 121 号 ( 经 2015 年 第 23 次 校 长 办 公 会 讨 论 通 过 ) 根 据 学 校 工 作 安 排, 定 于 2015 年 12 月 9 日 至 2016 年 1 月 13 日 布 置 开 展 本 年 度 专 业 技 术 职 务 评 议 聘 任 工 作 现 依 据 学 校 聘 任 制 度 改 革 的 相 关 文 件 精 神, 提 出 以 下 工

More information

其 他 方 面 也 可 以 采 用 同 样 的 方 式, 这 样 又 可 以 锻 炼 除 语 文 方 面 的 其 他 能 力 了 而 英 语 方 面, 我 认 为 配 合 英 语 专 业 举 办 英 语 演 讲 比 赛 就 很 不 错 这 样 开 展 一 系 列 的 创 新 活 动, 锻 炼 多 方

其 他 方 面 也 可 以 采 用 同 样 的 方 式, 这 样 又 可 以 锻 炼 除 语 文 方 面 的 其 他 能 力 了 而 英 语 方 面, 我 认 为 配 合 英 语 专 业 举 办 英 语 演 讲 比 赛 就 很 不 错 这 样 开 展 一 系 列 的 创 新 活 动, 锻 炼 多 方 2016 年 团 总 支 学 生 会 工 作 计 划 在 11-XX 年 度 里, 建 筑 与 艺 术 学 部 团 总 支 学 生 会 将 会 在 总 结 去 年 工 作 经 验 的 基 础 上, 进 一 步 贯 彻 的 优 良 传 统 坚 持 团 结 务 实 创 新 的 工 作 精 神, 紧 密 围 绕 学 生 会 自 我 教 育, 自 我 管 理, 自 我 服 务 的 方 针, 加 强 内 部

More information

第 六 条 办 法 第 五 条 ( 三 ) 协 会 考 评, 考 评 指 考 核 评 价 第 七 条 办 法 第 六 条 职 业 操 守 包 括 的 内 容 : 个 人 诚 信 不 做 假 账 不 偷 漏 税 不 贪 污 盗 窃 等 第 八 条 企 业 财 务 管 理 人 才 评 价 实 行 五 星

第 六 条 办 法 第 五 条 ( 三 ) 协 会 考 评, 考 评 指 考 核 评 价 第 七 条 办 法 第 六 条 职 业 操 守 包 括 的 内 容 : 个 人 诚 信 不 做 假 账 不 偷 漏 税 不 贪 污 盗 窃 等 第 八 条 企 业 财 务 管 理 人 才 评 价 实 行 五 星 企 业 财 务 管 理 人 才 评 价 办 法 实 施 细 则 第 一 章 总 则 第 一 条 根 据 企 业 财 务 管 理 人 才 评 价 办 法 ( 以 下 简 称 办 法 ), 制 定 本 细 则 第 二 条 办 法 第 一 条 根 据 国 务 院 机 构 改 革 和 职 能 转 变 方 案 精 神, 指 国 务 院 机 构 改 革 和 职 能 转 变 方 案 规 定 的 按 规 定 需 要

More information

<4D6963726F736F667420576F7264202D20313034A67EABD7A4BAB3A1B1B1A8EEA8EEABD7A6DBA6E6B5FBA6F4AD70B5652E646F63>

<4D6963726F736F667420576F7264202D20313034A67EABD7A4BAB3A1B1B1A8EEA8EEABD7A6DBA6E6B5FBA6F4AD70B5652E646F63> 國 立 臺 南 大 學 104 年 度 內 部 控 制 制 度 整 體 層 級 自 行 評 估 計 畫 一 辦 理 依 據 : 行 政 院 政 府 內 部 控 制 監 督 作 業 要 點 ( 以 下 簡 稱 作 業 要 點 ) 二 計 畫 目 的 : 本 校 為 落 實 自 我 監 督 機 制, 以 合 理 確 保 內 部 控 制 持 續 有 效 運 作, 由 相 關 單 位 依 職 責 分 工 評

More information

统计工作情况汇报

统计工作情况汇报 专 业 技 术 职 务 任 职 资 格 申 报 材 料 填 报 要 求 与 说 明 专 业 技 术 职 务 任 职 资 格 评 审 表 填 报 要 求 和 说 明 一 专 业 技 术 职 务 任 职 资 格 评 审 表 填 报 要 求 和 说 明 ( 一 ) 填 表 要 求 : 申 报 人 要 具 体 全 面 真 实 准 确 地 填 写 任 现 职 以 来 的 思 想 政 治 表 现 学 术 水 平

More information

他 随 身 带 有 二 三 十 张 古 方, 白 天 卖 药, 夜 晚 将 药 材 精 细 研 末, 按 方 配 制 对 于 病 人 服 药 后 反 应, 特 别 留 心 发 现 问 题, 就 近 向 老 医 生 老 药 贩 虚 心 求 教, 千 方 百 提 高 药 效 同 时 对 于 春 夏 秋

他 随 身 带 有 二 三 十 张 古 方, 白 天 卖 药, 夜 晚 将 药 材 精 细 研 末, 按 方 配 制 对 于 病 人 服 药 后 反 应, 特 别 留 心 发 现 问 题, 就 近 向 老 医 生 老 药 贩 虚 心 求 教, 千 方 百 提 高 药 效 同 时 对 于 春 夏 秋 绵 延 二 百 年 的 成 都 同 仁 堂 成 都 陈 同 仁 堂 是 古 老 的 中 成 药 铺, 清 代 乾 隆 年 间 开 设 在 成 都 湖 广 馆 街 口, 历 史 悠 久 专 业 丸 散, 兼 营 膏 丹 以 货 真 价 实, 言 不 二 价 而 闻 名 所 制 药 品 畅 销 本 市 和 川 西 北 农 村 山 区 及 云 南 贵 州 陕 西 甘 肃 等 省 并 远 至 新 疆 西 藏

More information

目 录 第 一 章 地 方 陪 同 导 游 人 员 服 务 程 序...1 第 一 节 地 方 陪 同 导 游 人 员 的 概 念 与 职 责...1 第 二 节 服 务 准 备...2 一 熟 悉 接 待 计 划...2 二 落 实 接 待 事 宜...5 三 物 质 和 知 识 的 准 备...

目 录 第 一 章 地 方 陪 同 导 游 人 员 服 务 程 序...1 第 一 节 地 方 陪 同 导 游 人 员 的 概 念 与 职 责...1 第 二 节 服 务 准 备...2 一 熟 悉 接 待 计 划...2 二 落 实 接 待 事 宜...5 三 物 质 和 知 识 的 准 备... 马 鞍 山 高 级 技 工 学 校 旅 游 服 务 与 管 理 专 业 模 拟 导 游 教 案 0 目 录 第 一 章 地 方 陪 同 导 游 人 员 服 务 程 序...1 第 一 节 地 方 陪 同 导 游 人 员 的 概 念 与 职 责...1 第 二 节 服 务 准 备...2 一 熟 悉 接 待 计 划...2 二 落 实 接 待 事 宜...5 三 物 质 和 知 识 的 准 备...6

More information

走 吧, 到 三 峡 去 : 那 里 是 我 们 先 人 用 生 命 之 血 打 造 的 家 园 走 吧, 到 三 峡 去 : 那 里 的 浪 涛 承 载 过 千 百 万 只 我 们 先 人 驶 向 今 天 的 航 船 走 吧, 到 三 峡 去 : 那 里 的 每 一 座 青 山 都 刻 满 了 我

走 吧, 到 三 峡 去 : 那 里 是 我 们 先 人 用 生 命 之 血 打 造 的 家 园 走 吧, 到 三 峡 去 : 那 里 的 浪 涛 承 载 过 千 百 万 只 我 们 先 人 驶 向 今 天 的 航 船 走 吧, 到 三 峡 去 : 那 里 的 每 一 座 青 山 都 刻 满 了 我 走 吧, 到 三 峡 去 : 那 里 是 我 们 先 人 用 生 命 之 血 打 造 的 家 园 走 吧, 到 三 峡 去 : 那 里 的 浪 涛 承 载 过 千 百 万 只 我 们 先 人 驶 向 今 天 的 航 船 走 吧, 到 三 峡 去 : 那 里 的 每 一 座 青 山 都 刻 满 了 我 们 先 人 垦 殖 的 足 印 走 吧, 到 三 峡 去 : 看 峡 江 上 的 悬 棺, 看 藏

More information

6寸PDF生成工具

6寸PDF生成工具 第 一 章 皇 城 惊 变 战 争 与 和 平, 自 古 以 来 就 是 矛 盾 的 对 立 面, 却 又 是 密 不 可 分 的 两 个 整 体 长 久 的 和 平, 必 会 带 来 血 腥 残 酷 的 战 争, 混 乱 次 序 的 大 战 之 后 必 会 迎 来 一 段 歌 舞 升 平 的 和 平 年 代 卡 米 拉 大 陆 按 着 不 可 抗 拒 的 自 然 规 律 旋 转 着, 和 平 与

More information

Microsoft Word - 送報伕2.doc

Microsoft Word - 送報伕2.doc 送 報 伕 楊 逵 胡 風 譯 呵, 這 可 好 了! 我 想 我 感 到 了 像 背 著 很 重 很 重 的 東 西, 快 要 被 壓 扁 了 的 時 候, 終 於 卸 了 下 來 似 的 那 種 輕 快 因 為, 我 來 到 東 京 以 後, 一 混 就 快 一 個 月 了, 在 這 將 近 一 個 月 的 中 間, 我 每 天 由 絕 早 到 深 夜, 到 東 京 市 底 一 個 一 個 職

More information

Microsoft Word - N011 斷翅天使

Microsoft Word - N011 斷翅天使 斷 翅 天 使 天 色 未 央, 冷 冽 寒 風 放 肆 在 無 人 煙 的 街 道 橫 行 亂 竄, 接 近 凌 晨 時 候 的 公 路 上 還 不 見 任 何 轎 車 的 蹤 影 靜 謐 的 空 氣, 被 急 促 的 足 聲 打 破 ; 在 沒 有 其 它 雜 音 的 清 晨, 噠 噠 的 腳 步 聲 顯 得 特 別 響 亮 一 個 小 身 影 疾 步 掠 過 路 燈 下 的 光 芒, 來 到

More information

中 国 科 学 院 国 家 科 学 图 书 馆

中 国 科 学 院 国 家 科 学 图 书 馆 中 国 科 学 院 国 家 科 学 图 书 馆 攻 读 博 士 学 位 研 究 生 培 养 方 案 为 保 证 中 国 科 学 院 国 家 科 学 图 书 馆 ( 以 下 简 称 国 科 图 ) 博 士 研 究 生 的 培 养 质 量, 进 一 步 优 化 和 规 范 国 科 图 博 士 研 究 生 的 培 养 工 作 根 据 教 育 部 颁 发 的 关 于 修 订 研 究 生 培 养 方 案 的

More information

申论写作套路万能模板

申论写作套路万能模板 申 论 就 是 针 对 特 定 事 实, 用 论 据 进 行 论 证, 申 述, 把 事 情 说 清 楚, 讲 明 白 公 务 员 考 试 申 论 就 是 针 对 当 前 存 在 的 社 会 热 点 和 难 点 问 题, 进 行 分 析 论 证, 提 出 对 策 申 论 的 本 质 : 公 务 员 的 思 维 方 式 那 么 如 何 写 好 申 论 作 文? 申 论 文 章 写 作 高 分 技 巧

More information

申 请 律 师 执 业 许 可 初 审 服 务 指 南 目 录 一 办 理 要 素 ( 一 ) 事 项 名 称 和 编 码 4 ( 二 ) 实 施 机 构 4 ( 三 ) 申 请 主 体 4 ( 四 ) 受 理 地 点 4 ( 五 ) 办 理 依 据 4 ( 六 ) 办 理 条 件 5 ( 七 )

申 请 律 师 执 业 许 可 初 审 服 务 指 南 目 录 一 办 理 要 素 ( 一 ) 事 项 名 称 和 编 码 4 ( 二 ) 实 施 机 构 4 ( 三 ) 申 请 主 体 4 ( 四 ) 受 理 地 点 4 ( 五 ) 办 理 依 据 4 ( 六 ) 办 理 条 件 5 ( 七 ) 行 政 许 可 3716000101503 申 请 律 师 执 业 许 可 初 审 服 务 指 南 滨 州 市 司 法 局 发 布 2015-09-01 1 申 请 律 师 执 业 许 可 初 审 服 务 指 南 目 录 一 办 理 要 素 ( 一 ) 事 项 名 称 和 编 码 4 ( 二 ) 实 施 机 构 4 ( 三 ) 申 请 主 体 4 ( 四 ) 受 理 地 点 4 ( 五 ) 办 理

More information

( 地 ( ) 组 织 机 构 代 码 企 业 详 细 名 称 哈 密 地 伊 吾 792268282 新 疆 广 汇 新 能 源 有 限 公 司 玛 纳 斯 663633976 玛 纳 斯 祥 云 化 纤 有 限 公 司 玛 纳 斯 74866269611 玛 纳 斯 澳 洋 科 技 有 限 责

( 地 ( ) 组 织 机 构 代 码 企 业 详 细 名 称 哈 密 地 伊 吾 792268282 新 疆 广 汇 新 能 源 有 限 公 司 玛 纳 斯 663633976 玛 纳 斯 祥 云 化 纤 有 限 公 司 玛 纳 斯 74866269611 玛 纳 斯 澳 洋 科 技 有 限 责 附 件 2016 年 国 家 重 点 监 控 企 业 名 单 一 废 水 国 家 重 点 监 控 企 业 名 单 ( 共 2660 家 ) 新 疆 维 吾 尔 自 治 (65 家 ) ( 地 ( ) 组 织 机 构 代 码 企 业 详 细 名 称 乌 鲁 木 齐 新 792287504 新 疆 帕 戈 郎 清 真 食 品 有 限 公 司 乌 鲁 木 齐 头 屯 河 72237822 新 疆 乌 苏

More information

图 文 聚 焦 国 培 计 划 (2013) 甘 肃 省 农 村 小 学 音 乐 骨 干 教 师 短 期 集 中 培 训 9 月 4 日 开 班 了, 学 员 老 师 们 从 甘 肃 省 各 个 县 市 州 汇 聚 湖 南 一 师, 开 始 了 为 期 14 天 的 培 训 学 习 : 鲜 明 的

图 文 聚 焦 国 培 计 划 (2013) 甘 肃 省 农 村 小 学 音 乐 骨 干 教 师 短 期 集 中 培 训 9 月 4 日 开 班 了, 学 员 老 师 们 从 甘 肃 省 各 个 县 市 州 汇 聚 湖 南 一 师, 开 始 了 为 期 14 天 的 培 训 学 习 : 鲜 明 的 . 国 培 简 报 国 培 计 划 (2013) 中 西 部 项 目 甘 肃 省 小 学 音 乐 短 期 集 中 培 训 班 二 〇 一 三 年 第 一 期 总 第 三 十 期 本 期 内 容 图 文 聚 焦 (1) 学 员 发 言 音 乐 学 员 代 表 在 国 培 开 班 典 礼 上 的 讲 话 (1) 课 堂 掠 影 (3) 教 师 心 语 (5) 国 培 掠 影 (12) 教 学 交 流 (14)

More information