ebook122-11

Size: px
Start display at page:

Download "ebook122-11"

Transcription

1 11 (test bench) Verilog HDL ) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s t a t e m e n t s I n s t a n t i a t e _ m o d u l e _ u n d e r _ t e s t M o n i t o r _ o u t p u t _ a n d _ c o m p a r e _ w i t h _ e x p e c t e d _ v a l u e s m o d u l e ) 2) : R e s e t = 0; #100 R e s e t = 1; #80 Reset = 0; #30 Reset = 1; 11-1 I n i t i a l

2 R e s e t = 0; R e s e t = #100 1; R e s e t = #80 0; R e s e t = #30 1; 11-1 initial R e s e t <= 0; R e s e t <= #100 1; R e s e t <= #180 0; R e s e t <= #210 1; p a r a m e t e r REPEAT_DELAY = 35; i n t e g e r C o i n V a l u e ; CoinValue = 0; #7 C o i n V a l u e = 25; #2 C o i n V a l u e = 5; #8 C o i n V a l u e = 10; #6 C o i n V a l u e = 5; #R E P E A T _ D E L A Y; 11-2 always

3 120 Verilog HDL a s s i g n # (P E R I O D/2) Clock = ~ C l o c k; C l o c k ( ) z x ~ x x C l o c k x C l o c k C l o c k = 0; C l o c k ( ) m o d u l e Gen_Clk_A (C l k _ A) ; o u t p u t C l k _ A; r e g C l k _ A ; p a r a m e t e r tperiod = 10; C l k _ A = 0; always # (t P E R I O D/2) Clk_A = ~ C l k _ A; m o d u l e m o d u l e Gen_Clk_B (C l k _ B) ; o u t p u t C l k _ B; r e g S t a r t; S t a r t = 1; #5 S t a r t = 0; n o r #2 (Clk_B, Start, C l k _ B) ; m o d u l e // 2 S t a rt 1 0 ( x ) 5 S t a rt m o d u l e Gen_Clk_C (C l k _ C) ; p a r a m e t e r ton = 5, t O F F = 10; o u t p u t C l k _ C ;

4 r e g C l k _ C ; # ton Clk_C = 0; # toff Clk_C = 1; m o d u l e f o r e v e r 11-5 m o d u l e Gen_Clk_D (Clk_D); o u t p u t C l k _ D ; r e g C l k _ D ; p a r a m e t e r START_DELAY = 5, LOW_TIME = 2, HIGH_TIME = 3; Clk_D = 0; # S T A R T _ D E L A Y ; f o r e v e r # LOW_TIME ; Clk_D = 1; # H I G H _ T I M E; Clk_D = 0; m o d u l e 11-6 r e p e a t

5 122 Verilog HDL m o d u l e Gen_Clk_E (C l k _ E) ; o u t p u t C l k _ E ; r e g C l k _ E ; p a r a m e t e r Tburst = 10, Ton = 2, Toff = 5; C l k _ E = 1'b0; r e p e a t(t b u r s t) # Toff Clk_E= 1'b1; # Ton Clk_E = 1'b0; m o d u l e 11-6 G e n _ C l k _ E T b u r s t To n To f f m o d u l e T e s t; w i r e Clk_Ea, Clk_Eb, Clk_Ec; Gen_Clk_E G1(C l k _ E a) ; // Gen_Clk_E # (5, 1, 3) (C l k _ E b) ; // Gen_Clk_E # (25, 8, 10) (C l k _ E c) ; // m o d u l e C l k _ E b m o d u l e Phase (Master_Clk, Slave_Clk ); o u t p u t Master_Clk, Slave_Clk; reg Master_Clk;

6 w i r e S l a v e _ C l k ; p a r a m e t e r t O N = 2, toff = 3, tphase_delay = 1; #ton Master_Clk= 0; #toff Master_Clk= 1; assign #tphase_delay Slave_Clk = M a s t e r _ C l k; m o d u l e ` t i m e s c a l e 1ns / 1ns m o d u l e D e c 2 x 4 (A, B, Enable, Z) ; i n p u t A, B, Enable; o u t p u t [0:3] Z; w i r e Abar, Bbar; n o t # (1, 2) V0 (Abar, A), V1 (Bar, B) ; n a n d # (4, 3) N0 (Z [0], Enable, Abar, Bbar), N1 (Z [1], Enable, Abar, B), N2 (Z [2], Enable, A, Bbar), N3 (Z [3], Enable, A, B), m o d u l e m o d u l e D e c _ T e s t ; r e g Da, Db, Dena; w i r e [0:3] D z ; / / Dec2x4 D1 (Da, Db, Dena, Dz) ;

7 124 Verilog HDL // Dena = 0; Da = 0; D b = 0; #10 Dena = 1; #10 Da = 1; #10 D b = 1; #10 D a = 0; #10 D b = 0; #10 $stop; / (Dena o r Da o r Db or D z) $d i s p l a y ("At time %t, input is %b%b%b, output is,%b" $ t i m e, Da, Db, Dena, Dz) ; m o d u l e At time 4, input is 000, output is 1111 At time 10, input is 001, output is 1111 At time 13, input is 001, output is 0111 At time 20, input is 101, output is 0111 At time 23, input is 101, output is 0101 At time 26, input is 101, output is 1101 At time 30, input is 111, output is 1101 At time 33, input is 111, output is 1100 At time 36, input is 111, output is 1110 At time 40, input is 011, output is 1110 At time 44, input is 011, output is 1011 At time 50, input is 001, output is 1011 At time 54, input is 001, output is D m o d u l e MSDFF (D, C, Q, Qbar) ; i n p u t D, C; o u t p u t Q, Qbar; not NT1 (NotD, D ) NT2 (NotC, C) NT3 (NotY, Y ) nand N D 1 (D1, D, C), N D 2 (D2, C, NotD), N D 3 (Y, D1, Ybar),

8 ND4 (Ybar, Y, D2), ND5 (Y1, Y, NotC), ND6 (Y2, NotY, NotC), N D 7 (Q, Qbar, Y1), N D 8 (Qbar, Y2, Q) ; m o d u l e m o d u l e T e s t ; r e g D, C; w i r e Q, Qb; MSDFF M1 (D, C, Q, Qb) ; #5 C = ~ C; D = 0; C = 0; #40 D = 1; #40 D = 0; #40 D = 1; #40 D = 0; $s t o p; $ m o n i t o r ("Time = %t ::", $t i m e,"c=%b, D=%b, Q=%b, Qb=%b", C,D, Q, Qb ); m o d u l e 0:: C=0, D=0, Q=x, Qb=x 5:: C=1, D=0, Q=x, Qb=x 10:: C=0, D=0, Q=0, Qb=1 15:: C=1, D=0, Q=0, Qb=1 20:: C=0, D=0, Q=0, Qb=1 25:: C=1, D=0, Q=0, Qb=1 30:: C=0, D=0, Q=0, Qb=1 35:: C=1, D=0, Q=0, Qb=1 40:: C=0, D=1, Q=0, Qb=1 45:: C=1, D=1, Q=0, Qb=1 50:: C=0, D=1, Q=1, Qb=0 55:: C=1, D=1, Q=1, Qb=0 60:: C=0, D=1, Q=1, Qb=0 65:: C=1, D=1, Q=1, Qb=0 70:: C=0, D=1, Q=1, Qb=0 75:: C=1, D=1, Q=1, Qb=0 80:: C=0, D=0, Q=1, Qb=0

9 126 Verilog HDL 85:: C=1, D=0, Q=1, Qb=0 90:: C=0, D=0, Q=0, Qb=1 95:: C=1, D=0, Q=0, Qb=1 100:: C=0, D=0, Q=0, Qb=1 105:: C=1, D=0, Q=0, Qb=1 110:: C=0, D=0, Q=0, Qb=1 115:: C=1, D=0, Q=0, Qb=1 120:: C=0, D=1, Q=0, Qb=1 125:: C=1, D=1, Q=0, Qb=1 130:: C=0, D=1, Q=1, Qb=0 135:: C=1, D=1, Q=1, Qb=0 140:: C=0, D=1, Q=1, Qb=0 145:: C=1, D=1, Q=1, Qb=0 150:: C=0, D=1, Q=1, Qb=0 155:: C=1, D=1, Q=1, Qb= $ re a d m e m b ( ) 3 t e s t. v e c A B m o d u l e Adder1Bit (A, B, Cin, Sum, Cout ); i n p u t A, B, Cin; o u t p u t Sum, Cout; a s s i g n S u m = (A ^ B ) ^ Cin; a s s i g n Cout = (A ^ B ) (A & Cin ) (B & Cin ); m o d u l e m o d u l e Adder3Bit (First, Second, Carry_In,Sum_Out, Carry_Out ); i n p u t [0:2] First, Second; i n p u t C a r r y _ I n; o u t p u t [0:2] S u m _ O u t; o u t p u t C a r r y _ O u t ; wire [0:1] C a r ; A d d e r 1 B i t A1 (F i r s t[2], S e c o n d[2], Carry_In,Sum_Out [2], C a r[ 1 ]) A2 (F i r s t[1], S e c o n d[1], C a r[1], Sum_Out [ 1 ],C a r[ 0 ]), A3 (F i r s t[0], S e c o n d[0], C a r[0], Sum_Out [0], C a r r y _ O u t) ; m o d u l e m o d u l e T e s t B e n c h;

10 p a r a m e t e r B I T S = 11, WORDS= 2 ; reg [1:BITS] V m e m [ 1 :W O R D S] ; r e g[ 0 : 2 ]A, B, S u m _ E x; r e g Cin, Cout_Ex; i n t e g e r J; w i r e [0:2] S u m; w i r e C o u t; / / A d d e r 3 B i t F1 (A, B, Cin, Sum, Cout) ; $readmemb ("test.vec", Vmem) ; f o r (J = 1; J <= W O R D S; J = J + 1) {A, B, Cin, Sum_Ex, Cout_Ex } = V m e m [J]; #5; // 5 i f ((S u m! = = S u m _ E x) (Cout! = = C o u t _ E x) ) $d i s p l a y ("****Mismatch on vector %b *****", Vmem [J]); e l s e $d i s p l a y ("No mismatch on vector %b", Vmem [J]); m o d u l e V m e m $re a d m e m b t e s t. v e c V m e m f o r No mismatch on vector No mismatch on vector $f d i s p l a y $ f m o n i t o r $ f s t r o b e m o n. O u t m o d u l e F _ T e s t _ B e n c h; p a r a m e t e r BITS = 11, WORDS= 2 ; r e g [ 1 :B I T S] Vmem [ 1 :W O R D S] ; r e g [0:2] A, B, Sum_Ex; r e g C i n, C o u t _ E x

11 128 Verilog HDL i n t e g e r J ; w i r e [0:2] S u m; w i r e C o u t; / / A d d e r 3 B i t F1 (A, B, Cin, Sum, Cout) ; : I N I T _ L A B L E i n t e g e r M o n _ O u t _ F i l e; Mon_Out_File = $f o p e n (" m o n. o u t "); $r e a d m e m b ("test.vec", Vmem) ; f o r (J = 1; J <= W O R D S; J = J + 1) {A, B, Cin, Sum_Ex, Cout_Ex } = Vmem [J]; #5; // 5 i f ((Sum! = = Sum_Ex) (Cout! = = Cout_Ex) ) $d i s p l a y ("****Mismatch on vector %b *****", V m e m [J]); e l s e $d i s p l a y ("No mismatch on vector %b", V m e m [J]); // : $f d i s p l a y (Mon_Out_File,"Input = %b%b%b, Output = %b%b", A, B, Cin, Sum, Cout) ; $f c l o s e (M o n _ O u t _ F i l e) ; m o d u l e m o n. o u t Input = , Output = 1000 Input = , Output = D i v m o d u l e D i v (Ck, Reset, TestN, Ena ); i n p u t Ck, Reset, TestN; o u t p u t E n a; r e g [0:3] C o u n t e r; al w a y (p o s e d g e C k) i f (~ R e s e t)

12 Counter = 0; e l s e i f (~ T e s t N) Counter = 15; e l s e Counter = Counter + 1 assign E n a = (C o u n t e r = = 15)? 1: 0; m o d u l e m o d u l e D i v _ T B; i n t e g e r O u t _ F i l e; r e g Clock, Reset, TestN; w i r e E n a b l e; Out_File = $fopen ("out.vec"); #5 C l o c k = 0; #3 C l o c k = 1; Div D1 (Clock, Reset, TestN, Enable ); Reset = 0; #50 Reset = 1; TestN = 0; #100 TestN = 1; #50 TestN = 0; #50 $f c l o s e (O u t _ F i l e) ; $f i n i s h; // // $f m o n i t o r (O u t _ F i l e,"enable changed to %b at time %t", E n a b l e, $time); m o d u l e o u t. v e c Enable changed to x at time 0 Enable changed to 0 at time 8

13 130 Verilog HDL Enable changed to 1 at time 56 Enable changed to 0 at time 104 Enable changed to 1 at time F S M ( f a c t o r i a l ) R e s e t D a t a S t a rt D o n e F a c _ O u t E x p _ O u t F a t _ O u t * 2 E x p _ O u t D a t a 1 20 S t a rt D o n e ` t i m e s c a l e 1ns / 1ns m o d u l e FACTORIAL (Reset, StartSig, Clk, Data, Done, FacOut, ExpOut) ; i n p u t Reset, StartSig, Clk, i n p u t [4:0] D a t a; o u t p u t D o n e; o u t p u t [ 7 : 0 ] FacOut, ExpOut; r e g S t o p; reg [4 : 0] I n L a t c h; r e g [7:0] Exponent, Result; integer I; S t o p = (p o s e d g e C l k) i f (( S t a r t S i g = = 1) && (Stop = = 1) && (Reset = = 1)) R e s u l t = 1; E x p o n e n t = 0; InLatch = D a t a;

14 Stop = 0; e l s e if (( InLatch > 1) && (Stop = = 0) begin Result = Result * InLatch ; InLatch = InLatch - 1; i f (I n L a t c h < 1) Stop = 1; // f o r (I = 1; I <= 5; I = I + 1) i f (Result > 256 ) R e s u l t = R e s u l t / 2; Exponent = Exponent+ 1; a s s i g n Done = Stop; a s s i g n FacOut = Result; a s s i g n ExpOut = Exponent; m o d u l e m o d u l e F A C _ T B; p a r a m e t e r I N _ M A X = 5, O U T _ M A X = 8; p a r a m e t e r RESET_ST = 0, START_ST = 1, A P P L _ D A T A _ S T = 2, W A I T _ R E S U L T _ S T = 3; r e g Clk, Reset, Start; w i r e D o n e; r e g [I N _ M A X-1 : 0] Fac_Out, Exp_Out; i n t e g e r N e x t _ S t a t e; p a r a m e t e r MAX_APPLY = 20; i n t e g e r N u m _ A p p l i e d; Num_Applied = 1; : C L K _ P #6 Clk = 1; #4 Clk = (n e g e d g e C l k) // c a s e (N e x t _ S t a t e)

15 132 Verilog HDL R E S E T _ S T: R e s e t = 1; S t a r t = 0; Next_State = APPL_DATA_ST ; A P P L _ D A T A _ S T : Data = Num_Applied; Next_State = START_ST ; S T A R T _ S T : Start = 1; Next_State = WAIT_RESULT_ST; W A I T _ R E S U L T _ S T: Reset = 0; Start = 0; w a i t (Done = = 1); i f (N u m _ A p p l i e d = = Fac_Out * ('h0001 << E x p _ O u t) ) $d i s p l a y ("Incorrect result from factorial", "model for input value %d", Data ); Num_Applied = Num_Applied + 1; if (Num_Applied < MAX_APPLY) Next_State = APPL_DATA_ST ; e l s e $d i s p l a y ("Test completed successfully "); $f i n i s h; // d e f a u l t : Next_State = START_ST ; c a s e / / FACRORIAL F1 (Reset, Start, Clk, Data, Done, Fac_Out, Exp_Out) ; m o d u l e

16 m o d u l e Count3_ls (Data, Clock, Detect3_ls ); i n p u t Data, Clock; o u t p u t D e t e c t 3 _ l s ; i n t e g e r C o u n t ; r e g D e t e c t 3 _ 1 s; initial Count = 0; Detect3_ls = (n e g e d g e C l o c k) i f ( D a t a = = 1) Count = Count + 1; e l s e Count = 0; i f (Count >= 3) D e t e c t 3 _ l s = 1; e l s e Detect3_ls = 0; m o d u l e m o d u l e To p; r e g Data, Clock; i n t e g e r O u t _ F i l e; / / Count3_ls F1 (Data, Clock, Detect ); C l o c k = 0; f o r e v e r #5 Clock = ~ Clock; D a t a = 0; #5 Data = 1; #40 D a t a = 0; #10 D a t a = 1; #40 D a t a = 0; #20 $s t o p; //

17 134 Verilog HDL // O u t _ F i l e = $f o p e n (" r e s u l t s. v e c t o r s "); $f m o n i t o r (O u t _ F i l e,"clock = %b, Data = %b, Detect = %b", C l o c k, Data, Detect); m o d u l e ns 10 ns Verilog HDL C l o c k V G e n _ C l k _ D C l k _ D( 11-6 ) 15 ns [ ] C l o c k A C l o c k B C l o c k A 10 ns C l o c k B 40 n s 1 ns 2 n s C l o c k B C l o c k A 6. 4 / 7. 4 (<, <=, >, >=) A L U

18 N [ C o u n t _ F l a g 1 ( ) M A X _ C O U N T O v e r F l o w M A X _ C O U N T C o u n t _ F l a g G r a y 3 R e s e t T s p e c i f y T 2 ns 3 ns

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

2014 優 秀 教 師 選 舉 ( 第 十 屆 ) 個 案 報 告 參 賽 組 別 : 關 愛 組 參 賽 者 : 陳 笑 芳 老 師 目 錄 1. 背 景 資 料 P.1 2. 本 校 宗 旨 P.1 3. 個 案 分 析 P.1 4. 處 理 方 法 P.2 5. 學 生 成 就 P.5 6. 成 長 關 顧 組 P.6 7. 檢 討 及 展 望 P.6 8. 總 結 P.7 1. 背 景

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

《民国演义》第一册

《民国演义》第一册 ! " #! " " $ %!! # "! " #! "!!$ %&$ %! " "!! "! $! "! " &! " # $ %! %&%! " " " " "" "! " " " " " " " " "! " " # " "! $ $ %! "# # $ #& # # # # $ # # # # # # # # $ # # # # # # # # # # %! $ """"""""""""!

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

"#$% & ( )*+,,, -+./01 234,+536,, : 3 ; 33 < =>5+ +,,,%B?B6B B? )-,,,>-% ) ) ) ) ) C C )>4,D--?> -&6+ )5 +4 )+B, +,,-- +,,-- )-(4,,, )

#$% & ( )*+,,, -+./01 234,+536,, : 3 ; 33 < =>5+ +,,,%B?B6B B? )-,,,>-% ) ) ) ) ) C C )>4,D--?> -&6+ )5 +4 )+B, +,,-- +,,-- )-(4,,, ) "#$% & ( )*+,,, -+./01 234,+536,,-35 7 8 9 : 3 ; 33 < =>5+ +? @.A +,,,%B?B6B B? )-,,,>-% ) ) ) ) ) C C )>4,D--?> -&6+ )5 +4 )+B, +,,-- +,,-- )-(4,,, )-+ >, / %%%%%%%%%%%%%%%%%% "#$ "$ %%%%%%%%%%%%%%%%%%%%

More information

1904 1 1916 20 25 1 1917 2 40 25 1 1924 1936 1938 45 1 24900 5500 126 45800 10949 38 40 1 45 1 1256 7 1 5 525 501 1938 5 45 1 1941 1 50 22 27 3 2 102 2 175 1 3 1 2 120 1 1 1945 10 1 1950 1 18 1 35 1951

More information

Microsoft Word - 第三章第一節第二節.doc

Microsoft Word - 第三章第一節第二節.doc 原 臺 中 刑 務 所 典 獄 長 官 舍 第 三 章 臺 中 刑 務 所 典 獄 官 建 築 研 究 與 調 查 第 一 節 建 築 特 色 及 考 證 一 日 治 時 期 臺 灣 官 舍 建 築 特 色 分 析 - 以 臺 中 市 西 區 為 例 96 ( 一 ) 臺 灣 總 督 府 官 舍 制 度 日 治 初 期 臺 灣 總 督 府 為 從 日 本 內 地 招 募 各 種 官 吏 來 到 臺

More information

untitled

untitled TT...1 TT...6 TT...13 TT...21 TT...22 TT...23 TT...25 TT...25 TT...32 TT...33 TT...33 TT...34 TT...38 T...40T TT...44 TT...46 TT...47 TT...49 TT...51 TT...53 TT...53 TT...54 TT...54 TT...54 TT...55 ,,,,,,,,

More information

广东省社会保险基金管理局办公室文件

广东省社会保险基金管理局办公室文件 广 东 省 社 会 保 险 基 金 管 理 局 办 公 室 文 件 粤 社 保 办 2014 171 号 关 于 更 新 2014 年 度 全 省 基 本 养 老 金 资 格 协 助 认 证 网 点 名 址 的 通 知 各 地 级 以 上 社 会 保 险 基 金 管 理 局, 顺 德 社 会 保 险 基 金 管 理 局 : 根 据 关 于 核 对 2014 年 度 基 本 养 老 金 领 取 资 格

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

!!#!#!#!# $% $&& ( $&)!* $&&% ) $ +,,+ ) $ -,,!# #!# $. $ $&&/ $,, %, (-- $% $&&, $) $&), $.-- $&&, $&), + $,&$

!!#!#!#!# $% $&& ( $&)!* $&&% ) $ +,,+ ) $ -,,!# #!# $. $ $&&/ $,, %, (-- $% $&&, $) $&), $.-- $&&, $&), + $,&$ !# $%& !!#!#!#!# $% $&& ( $&)!* $&&% ) $ +,,+ ) $ -,,!# #!# $. $ $&&/ $,, %, (-- $% $&&, $) 01 2345 $&), $.-- $&&, $&), + $,&$ !./0 1234 ###################################################### #!!!#! $%

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Microsoft PowerPoint - chap02.ppt

Microsoft PowerPoint - chap02.ppt 第 2 章 HDL 入门指南 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn module- 模块 Verilog 描述的基本单位 用于描述电路的功能 结构及与其他 module 的通信端口 一个 module 表示一个设计, 其描述方式包括 : 数据流方式 连续赋值语句 行为方式 过程语句 结构方式 其他 module 和开关级原语 (primitive) 门级原语及用户定义的原语

More information

里 再 说 吓 唬 了 孩 子, 肯 定 方 宁 不 忍 所 以 她 不 死 便 罢, 倘 若 死, 只 有 到 办 公 室 沈 若 鱼 冷 静 得 好 像 在 评 点 某 一 电 视 剧 中 的 女 主 角 你 说 她 是 怎 么 死 的? 先 生 又 感 惊 骇 吃 安 眠 药 沈 若 鱼 成

里 再 说 吓 唬 了 孩 子, 肯 定 方 宁 不 忍 所 以 她 不 死 便 罢, 倘 若 死, 只 有 到 办 公 室 沈 若 鱼 冷 静 得 好 像 在 评 点 某 一 电 视 剧 中 的 女 主 角 你 说 她 是 怎 么 死 的? 先 生 又 感 惊 骇 吃 安 眠 药 沈 若 鱼 成 沈 若 鱼 和 母 亲 自 南 方 旅 游 归 来 时, 晒 得 像 一 段 黑 檀 木 先 生 到 机 场 接 她 们, 小 心 翼 翼 好 像 母 女 俩 是 砍 开 的 半 个 椰 子, 一 碰 就 会 汁 液 横 流 本 想 把 母 亲 接 到 自 家, 但 老 人 坚 持 回 干 休 所 送 母 亲 回 去 安 歇 后, 先 生 的 精 神 才 舒 缓 一 些 告 诉 你 一 件 事, 可

More information

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 档 案 局 2016 年 度 部 门 预 算 1 目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 年 度 市 级 部 门 财 政 拨 款 支 出 预

More information

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 2015 年 度 部 门 决 算 报 表 ( 含 三 公 经 费 决 算 ) 2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 上 级 补 助 收 入

More information

菩提道次第廣論

菩提道次第廣論 菩 提 道 次 第 广 论 下 士 道 ( 二 ) 深 信 业 果 18 ( 分 别 业 的 轻 重 3 ) 最 尊 贵 的 净 莲 上 师 讲 解 我 们 继 续 说 明 为 什 么 业 特 别 重 大 的 原 因 第 二 个, 是 它 所 依 门, 所 依 门 的 缘 故, 依 它 所 依 的 内 容 而 有 轻 重 的 差 别 第 一 个, 它 所 依 的 是 什 么 呢? 就 是 你 是 不

More information

路 上 沒 說 話, 車 子 被 爸 離 去 後 開 走 了, 沒 什 麼 變, 除 了 一 股 淡 淡 的 香 味, 我 不 太 習 慣, 像 空 氣 中 的 粉 塵, 左 飄 右 飄, 光 中 飛 舞 我 沒 提, 看 車 窗 外, 外 面 不 太 有 趣, 我 只 是 沒 事 幹, 我 們 本

路 上 沒 說 話, 車 子 被 爸 離 去 後 開 走 了, 沒 什 麼 變, 除 了 一 股 淡 淡 的 香 味, 我 不 太 習 慣, 像 空 氣 中 的 粉 塵, 左 飄 右 飄, 光 中 飛 舞 我 沒 提, 看 車 窗 外, 外 面 不 太 有 趣, 我 只 是 沒 事 幹, 我 們 本 午 睡 中 午, 門 鈴 又 響, 我 去 開 門 門 眼 外 的 玄 關 很 暗, 燈 關 上 了, 我 看 見 一 個 男 人 站 在 門 外, 低 著 頭, 我 猜 他 在 看 信, 他 的 髮 旋 對 著 我, 沒 有 表 情 有 些 陌 生, 我 打 開 內 門, 那 個 男 人 露 出 臉, 隔 著 鐵 門 露 出 微 笑, 我 說 爸, 他 回 一 聲 我 遲 疑 著 轉 開 鎖, 打

More information

繁 華 國 小 101 學 年 母 親 節 感 恩 惜 福 - 跳 蚤 市 場 暨 科 學 闖 關 遊 戲 親 子 活 動 實 施 計 畫 一 依 據 : 本 校 101 學 年 度 校 務 計 畫 及 行 事 曆 二 目 的 : 1. 培 養 學 生 感 恩 惜 物 知 福 惜 福 的 節 儉 觀

繁 華 國 小 101 學 年 母 親 節 感 恩 惜 福 - 跳 蚤 市 場 暨 科 學 闖 關 遊 戲 親 子 活 動 實 施 計 畫 一 依 據 : 本 校 101 學 年 度 校 務 計 畫 及 行 事 曆 二 目 的 : 1. 培 養 學 生 感 恩 惜 物 知 福 惜 福 的 節 儉 觀 屏 東 縣 繁 華 國 小 慶 祝 101 學 年 度 母 親 節 活 動 實 施 計 劃 壹 依 據. 一. 本 校 101 年 度 推 廣 教 育 優 先 區 親 職 教 育 及 社 區 化 教 育 辦 理 二. 本 校 101 學 年 度 第 二 學 期 行 事 曆 計 劃 辦 理 貳 目 的. 一. 建 立 正 確 的 現 代 父 母 角 色, 發 揮 親 職 教 育 之 功 能 二. 增

More information

台 中 市 北 屯 區 東 山 里 橫 坑 9 林 志 明 0932-511633 巷 89-5 菜 豆 菜 大 漿 果 菜 豆 菜 大 漿 果 小 漿 果 核 果 柑 桔 9210065 103.2.21 107..19 210065 無 107.2.12 10 陳 錦 生 新 竹 市 香 山 區

台 中 市 北 屯 區 東 山 里 橫 坑 9 林 志 明 0932-511633 巷 89-5 菜 豆 菜 大 漿 果 菜 豆 菜 大 漿 果 小 漿 果 核 果 柑 桔 9210065 103.2.21 107..19 210065 無 107.2.12 10 陳 錦 生 新 竹 市 香 山 區 序 農 產 品 經 營 業 者 名 稱 1 劉 士 魁 2 林 東 詳 3 林 俊 堯 廖 本 源 5 林 碧 龍 10 年 驗 戶 名 冊 ( 生 產 加 工 分 裝 流 通 ) 地 址 彰 化 縣 永 靖 鄉 崙 子 村 九 分 路 192 巷 21 屏 東 縣 屏 東 市 空 翔 里 2 鄰 迪 化 一 街 67 台 中 市 太 平 區 育 誠 街 12 巷 1 屏 東 縣 屏 東 市 華 盛

More information

45 45 67 1935 10 7 1937 4 6 1943 1945 4 1952 46 47 5 5 1 5 1979 1964 1949 1954 1966 1967 1 9 48 49 1960 70 12 16 1 2 3 4 5 6 1970 1964 1966 50 51 1993 2001 1990 1993 9 25 1950 6 5 7 96 811 12 8 10 1972

More information

育儿小故事(四)

育儿小故事(四) 9998.00 (1CD, ) I...1...2...4...6...7...8...9... 11...12...14...15...16...21...23...38...44...44...50...52...70...74...79...84...89...97 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25

More information

由社會發展趨勢探討國人睡眠品質

由社會發展趨勢探討國人睡眠品質 1 2 3 1. 2. 1. 2. 3. 4. 2. 1. 2. 4 5 6 7683 7 3-2 8 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 9 4-1 10

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用该语言在各种层次上对数字系统的建模方法

本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用该语言在各种层次上对数字系统的建模方法 Verilog HDL 硬件描述语言 原书名 A Verilog HDL Primer (second Edition) 原出版社 Star Gralaxy Publishing 作 者 J.Bhasker 译 者 徐振林等 丛书名 电子工程丛书 出版社 机械工业出版社 书 号 7-111-07890-X 页 码 171 出版日期 2000 年 7 月 本书简要介绍了 Verilog 硬件描述语言的基础知识,

More information

产 品 风 险 等 级 较 低 风 险 ( 本 评 级 为 浙 商 银 行 内 部 测 评 结 果, 仅 供 参 考 ) 适 合 客 户 经 浙 商 银 行 风 险 评 估, 评 定 为 稳 健 型 及 以 上 的 私 人 银 行 客 户 投 资 及 收 益 币 种 人 民 币 起 点 金 额 10

产 品 风 险 等 级 较 低 风 险 ( 本 评 级 为 浙 商 银 行 内 部 测 评 结 果, 仅 供 参 考 ) 适 合 客 户 经 浙 商 银 行 风 险 评 估, 评 定 为 稳 健 型 及 以 上 的 私 人 银 行 客 户 投 资 及 收 益 币 种 人 民 币 起 点 金 额 10 浙 商 银 行 永 乐 5 号 人 民 币 理 财 产 品 说 明 书 一 重 要 提 示 理 财 非 存 款 产 品 有 风 险 投 资 须 谨 慎 浙 商 银 行 股 份 有 限 公 司 ( 以 下 称 浙 商 银 行 或 本 行 ) 郑 重 提 示 : 在 购 买 理 财 产 品 前, 客 户 应 确 保 自 己 完 全 明 白 该 项 投 资 的 性 质 和 所 涉 及 的 风 险, 详 细

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. / / 3 4 [1] (p.26) [2] (p.171) 3 1998 4 13 4

4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. / / 3 4 [1] (p.26) [2] (p.171) 3 1998 4 13 4 2012 13 No.13,2012 History Teaching 650 Sum No.650 510631 [ ] [ ]G63 [ ]B [ ]0457-6241 2012 13-0003-11 [1] pp.25~26 1 2.4 30 62 30 102 28 =30 2 2012-05-07 3 4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. /

More information

穨foodEstablishc.PDF

穨foodEstablishc.PDF / / / / /...1...4...4...5...6...8...8...10...11...13... 17... 20 A... 21 B... 22 C...1 / 1.1 / 1 / 1.2 / 1.3 2000 1 1 / 2000 / 1.4 / / 1 / 1 / 1999 / 370 000 / 22 1.5 / / / / 1.6 / 2 / 3 / 2.1 / 2.2 /

More information

2012年国家公务员考试行测真题及参考解析

2012年国家公务员考试行测真题及参考解析 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 2013 年 国 家 公 务 员 考 试 即 将 开 始, 整 理 出 历 年 考 试 真 题, 为 各 位 考 生 助 理 加 油 2012 年 国 家 公 务 员 考 试 行 测 真 题 第 一 部 分 常 识 判 断 根 据 题 目 要 求, 在 四 个 选 项 中 选 出 一 个 最 恰 当 的 答 案

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

36 2013 6 29 2012 10 24 2012 12 1 93 1998 10 25 94 95 2001 12 12 96 2007 4 15 97 (1) 200.0 50.0 100.0 500.0 98 49% 5% 20% 25% 25% 25% 25% H 598,100,000 H 59,810,000 657,910,000 H 25.0% 10 2010 9 19 5%

More information

Microsoft PowerPoint - chap05

Microsoft PowerPoint - chap05 第 5 章门级建模 -Verilog 内置基本门 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn 信号强度 (10.12 节 ) 信号除了 4 个基本值以外, 还可以指定强度 强度分为驱动强度和电荷强度 驱动强度 : 指门级元件输出端的驱动强度, 当一条线接多个输出时, 各个输出的驱动强度不同将最终决定连线的逻辑状态 可以在 3 种情况下为线网指定驱动强度 线网声明赋值语句中的线网变量

More information

1996 1996 1996 2000 1200 5 32 763 675 220 8 1210 850 15 75 2000 381.81 1618.19 1996 1996 1618.19 1618.19 670 670 2288.19 2288.19 161.82 161.82 161.82 80.91 1964.55(0.5 ) 2045.46 161.82 323.64 806 835

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc TwinCAT 2001.12.11 TwinCAT 1. TwinCAT... 3 2.... 4... 4...11 3. TwinCAT PLC... 13... 13 PLC IEC 61131-3... 14 4. TwinCAT... 17... 17 5. TwinCAT... 18... 18 6.... 19 Maschine.pro... 19... 27 7.... 31...

More information

"!""#!"#$!"""!""$ %&# #$(!""%!""& ) *+#,$ -.# % /&01!""(!" " &#(& ) 203,+," #$4,$ #5, %&# #$(!""%!""( #$!""# $ $!"#

!#!#$!!$ %&# #$(!%!& ) *+#,$ -.# % /&01!(!  &#(& ) 203,+, #$4,$ #5, %&# #$(!%!( #$!# $ $!# " #! ( # ( (!""&!""%!""&!""&!!""% "%!"""$& #& $!"#!""# $ "!""#!"#$!"""!""$ %&# #$(!""%!""& ) *+#,$ -.# % /&01!""(!" " &#(& ) 203,+," #$4,$ #5, %&# #$(!""%!""( #$!""# $ $!"# " %!""$ %!""!!!"##"$%& ( %&#

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

2012年报.xls

2012年报.xls 合 计 平 均 0.3560 0.4140-14.02 245091.50 227618.11 7.68 19544.36 19536.49 0.04 50289 51020 51317 51393 51436 600000 浦 发 银 行 2013-05-09 1.8330 1.4630 25.29 8295200 6791800 22.14 3418600 2728600 25.29 411643

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

<453A5CC2EDC0F6C5C5B0E6CEC4BCFE5CC3F1B7A8A1A4C9CCB7A8A1A4C3F1CAC2CBDFCBCFB7A8D3EBD6D9B2C3D6C6B6C8D5AACEC4BCFE574F52445CB9D9B7BDD0DEB6A9B5E7D7D3B7FECEF1A3A8A1B6C3F1CBDFBDE2CACDA1B7BACDA1B6C1A2B7A8B7A8A1B7A3A92E646F63>

<453A5CC2EDC0F6C5C5B0E6CEC4BCFE5CC3F1B7A8A1A4C9CCB7A8A1A4C3F1CAC2CBDFCBCFB7A8D3EBD6D9B2C3D6C6B6C8D5AACEC4BCFE574F52445CB9D9B7BDD0DEB6A9B5E7D7D3B7FECEF1A3A8A1B6C3F1CBDFBDE2CACDA1B7BACDA1B6C1A2B7A8B7A8A1B7A3A92E646F63> 国 家 司 法 考 试 试 题 解 析 汇 编 (2009 2014) 旧 题 新 解 服 务 要 目 : 民 诉 解 释 电 子 修 订 1 立 法 法 电 子 修 订 80 民 诉 解 释 电 子 修 订 民 事 诉 讼 法 与 仲 裁 制 度 一 单 项 选 择 题 1. 居 民 甲 与 金 山 房 地 产 公 司 签 订 了 购 买 商 品 房 一 套 的 合 同, 后 因 甲 未 按 约

More information

開放電腦計畫 -- 計算機硬體結構

開放電腦計畫 -- 計算機硬體結構 開 放 電 腦 計 畫 -- 計 算 機 硬 體 結 構 2014 年 7 月 出 版 作 者 : 陳 鍾 誠 ( 創 作 共 用 : 姓 名 標 示 相 同 方 式 分 享 授 權 ) 開 放 電 腦 計 畫 -- 計 算 機 硬 體 結 構 前 言 序 授 權 聲 明 開 放 電 腦 計 畫 簡 介 硬 體 : 計 算 機 結 構 軟 體 : 系 統 程 式 結 語 參 考 文 獻 電 腦 硬

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

___证券投资基金招募说明书1

___证券投资基金招募说明书1 圆 信 永 丰 强 化 收 益 债 券 型 证 券 投 资 基 金 基 金 合 同 摘 要 一 基 金 管 理 人 基 金 托 管 人 和 基 金 份 额 持 有 人 的 权 利 义 务 ( 一 ) 基 金 管 理 人 的 权 利 与 义 务 1 根 据 基 金 法 运 作 办 法 及 其 他 有 关 规 定, 基 金 管 理 人 的 权 利 包 括 但 不 限 于 : (1) 依 法 募 集 资

More information

实 施 其 他 法 律 行 为 ; (15) 选 择 更 换 律 师 事 务 所 会 计 师 事 务 所 证 券 经 纪 商 或 其 他 为 基 金 提 供 服 务 的 外 部 机 构 ; (16) 在 符 合 有 关 法 律 法 规 的 前 提 下, 制 订 和 调 整 有 关 基 金 认 购 申

实 施 其 他 法 律 行 为 ; (15) 选 择 更 换 律 师 事 务 所 会 计 师 事 务 所 证 券 经 纪 商 或 其 他 为 基 金 提 供 服 务 的 外 部 机 构 ; (16) 在 符 合 有 关 法 律 法 规 的 前 提 下, 制 订 和 调 整 有 关 基 金 认 购 申 融 通 中 证 军 工 指 数 分 级 基 金 基 金 合 同 摘 要 公 告 日 期 :2015 年 6 月 15 日 一 基 金 合 同 当 事 人 的 权 利 义 务 ( 一 ) 基 金 管 理 人 的 权 利 与 义 务 1 根 据 基 金 法 运 作 办 法 及 其 他 有 关 规 定, 基 金 管 理 人 的 权 利 包 括 但 不 限 于 : (1) 依 法 募 集 资 金 ; (2)

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

Microsoft Word - 目次範例-catalog016204.doc

Microsoft Word - 目次範例-catalog016204.doc 財 政 經 濟 篇 行 政 規 則 行 政 院 金 融 監 督 管 理 委 員 會 令 中 華 民 國 99 年 10 月 25 日 金 管 銀 法 字 第 09910003990 銀 行 辦 理 結 構 型 商 品 所 收 本 金 性 質 及 會 計 處 理 之 相 關 事 項 規 定 如 下 : 一 結 構 型 商 品 所 收 之 本 金 不 視 為 存 款, 該 本 金 於 計 算 銀 行 法

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

(Microsoft Word - \256g\275b\252\354\305\351\305\347.doc)

(Microsoft Word - \256g\275b\252\354\305\351\305\347.doc) 一 基 本 資 料 附 件 2 1. 參 賽 者 姓 名 : 方 慈 惠 2. 參 賽 者 ( 服 務 ) 單 位 : 國 立 台 南 女 子 高 級 中 學 3. 參 賽 者 ( 服 務 單 位 ) 地 址 : 台 南 市 大 埔 街 97 號 4. 聯 絡 電 話 : 06-2154626;06-2154608;0929082918 5. 設 計 理 念 簡 介 : 射 箭 運 動 列 入 國

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

VN-Cover

VN-Cover IP Verification 國立中山大學資訊工程學系 黃英哲 nlint - Rule Checker Course Objects Rule Definition nlint Utilizing 中山大學資工系黃英哲 3 Rule Definition Rule Group Coding style Language Construct Design style DFT Simulation

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

目 录 温 州 大 学 实 验 室 绩 效 考 核 办 法 ( 试 行 )...3 温 州 大 学 实 验 教 学 示 范 中 心 专 项 建 设 经 费 管 理 办 法...1 温 州 大 学 实 验 技 术 人 员 编 制 核 定 办 法...4 温 州 大 学 实 验 室 研 究 项 目 管

目 录 温 州 大 学 实 验 室 绩 效 考 核 办 法 ( 试 行 )...3 温 州 大 学 实 验 教 学 示 范 中 心 专 项 建 设 经 费 管 理 办 法...1 温 州 大 学 实 验 技 术 人 员 编 制 核 定 办 法...4 温 州 大 学 实 验 室 研 究 项 目 管 实 验 室 管 理 制 度 汇 编 温 州 大 学 教 务 处 2012 年 12 月 编 制 -1- 目 录 温 州 大 学 实 验 室 绩 效 考 核 办 法 ( 试 行 )...3 温 州 大 学 实 验 教 学 示 范 中 心 专 项 建 设 经 费 管 理 办 法...1 温 州 大 学 实 验 技 术 人 员 编 制 核 定 办 法...4 温 州 大 学 实 验 室 研 究 项 目 管

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

!!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 "

!!# $ %# & $$ % $()! *% $!*% +,-. / 0 %%# 0 $%1 0 * $! $#)2 ! """"""""""""""""""" " !!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 " !"#$%#$&!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%& (& #) *+&,"-./%0 1 2"0*-"3* #4 5%&6&4"&00 78 9+& :"/;& 7< 9+& =#4-%%/

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

ebook39-6

ebook39-6 6 first-in-first-out, FIFO L i n e a r L i s t 3-1 C h a i n 3-8 5. 5. 3 F I F O L I F O 5. 5. 6 5. 5. 6.1 [ ] q u e n e ( r e a r ) ( f r o n t 6-1a A 6-1b 6-1b D C D 6-1c a) b) c) 6-1 F I F O L I F ADT

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

<4D6963726F736F667420576F7264202D20A1BE3230313630373237A1BF32303136C4EABDADCBD5D7CFBDF0C5A9B4E5C9CCD2B5D2F8D0D0B9C9B7DDD3D0CFDEB9ABCBBEB8FAD7D9C6C0BCB6B1A8B8E6A3A8B8FAD7D93230313630373237A3A9>

<4D6963726F736F667420576F7264202D20A1BE3230313630373237A1BF32303136C4EABDADCBD5D7CFBDF0C5A9B4E5C9CCD2B5D2F8D0D0B9C9B7DDD3D0CFDEB9ABCBBEB8FAD7D9C6C0BCB6B1A8B8E6A3A8B8FAD7D93230313630373237A3A9> 优 化 组 织 架 构, 提 升 管 控 效 率 ; 总 行 参 与 贷 款 调 查, 控 制 信 贷 风 险 2015 年 底, 紫 金 农 商 银 行 为 适 应 经 营 发 展 需 要, 对 组 织 架 构 和 内 设 机 构 进 行 了 部 分 调 整, 新 设 立 了 金 融 同 业 部 和 七 个 部 门 内 设 中 心, 分 别 为 : 个 人 存 款 管 理 中 心 和 零 售 业

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

内 容 提 要

内  容  提  要 第 一 卷 内 容 提 要 ( 刘 志 丹 ) 是 一 部 三 卷 集 的 长 篇 传 记 小 说 这 是 第 一 卷, 曾 于 1980 年 由 工 人 出 版 社 出 版 近 两 三 年 来, 在 党 的 十 一 届 三 中 全 会 精 神 鼓 舞 下, 作 者 以 惊 人 的 毅 力, 在 撰 写 二 三 卷 的 同 时 对 第 一 卷 又 进 行 了 重 大 修 改, 拟 在 文 化 艺 术

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

民國八十九年台灣地區在校學生性知識、態度與行為研究調查

民國八十九年台灣地區在校學生性知識、態度與行為研究調查 84 年 台 灣 地 區 在 校 學 生 性 知 識 態 度 與 行 為 研 究 調 查 過 錄 編 碼 簿 題 號 變 項 名 稱 變 項 說 明 選 項 數 值 說 明 備 註 i_no 學 生 編 號 問 卷 流 水 號 location 學 校 所 在 縣 市 編 號 1 台 北 市 2 基 隆 市 3 台 中 市 4 台 南 市 5 高 雄 市 6 新 竹 市 7 嘉 義 市 21 宜 蘭

More information

Microsoft PowerPoint - 104-1校務會議主席報告

Microsoft PowerPoint - 104-1校務會議主席報告 2 近 年 (2008-2015) 校 務 系 所 通 識 教 育 師 培 教 學 卓 越 環 安 體 育 性 別 交 通 檔 案 管 理 等 多 項 評 鑑 均 獲 得 績 優 之 評 價 2011 年 度 校 務 評 鑑 五 大 項 目 學 校 自 我 定 位 校 務 治 理 與 經 營 教 學 與 學 習 資 源 績 效 與 社 會 責 任 持 續 改 善 與 品 質 保 證 機 制 全 數

More information

工业和信息化部 水利部 全国节约用水办公室

工业和信息化部 水利部 全国节约用水办公室 附 件 : 国 家 节 水 标 杆 企 业 和 标 杆 指 标 ( 第 一 批 ) 序 号 企 业 名 称 产 品 名 称 1 太 原 钢 铁 ( 集 团 ) 有 限 公 司 不 锈 钢 标 杆 指 标 ( 单 位 产 品 取 水 量 ) 1.45 m 3 /t ( 再 生 水 用 量 占 总 用 水 量 的 50%) 2 莱 芜 钢 铁 集 团 有 限 公 司 H 型 钢 齿 轮 钢 3.43m

More information

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014)

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014) December 3, 2014 Toshiaki Iwata Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. USB3.0 / USB3 Vision Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. 2 Copyright 2014 TOSHIBA

More information

营 业, 因 业 务 往 来 关 系, 与 宜 宾 大 小 商 帮 比 较 熟 悉 曹 九 龄 熊 郁 村 便 约 我 参 加 共 同 发 起 熊 曹 二 人 与 我 又 是 世 交, 在 实 业 救 国 思 想 激 励 下, 同 时 也 为 个 人 将 来 发 展 前 途 计, 我 也 欣 然 乐

营 业, 因 业 务 往 来 关 系, 与 宜 宾 大 小 商 帮 比 较 熟 悉 曹 九 龄 熊 郁 村 便 约 我 参 加 共 同 发 起 熊 曹 二 人 与 我 又 是 世 交, 在 实 业 救 国 思 想 激 励 下, 同 时 也 为 个 人 将 来 发 展 前 途 计, 我 也 欣 然 乐 回 忆 四 川 合 众 轮 船 公 司 孙 尊 山 一 四,Jll 合 众 轮 船 公 司 的 成 立 1. 创 办 的 动 机 四 川 宜 宾 ( 旧 叙 州 府 治 ) 据 长 江 上 游 金 沙 江 和 支 流 岷 江 入 口 处, 全 年 均 可 通 航 且 与 云 南 接 壤, 为 云 南 迤 东 一 带 货 物 输 出 输 入 必 经 之 地, 川 西 大 部 物 资 也 由 此 转 运,

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

ì F = 1 2 L' I 2 = 1 2 2m L' I 2 = ( 1 4m) L' I T dm F = I p I d dz dm dz 1 2 L' dz dm 10 7 J m 3 10

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information