Microsoft PowerPoint - chap02.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - chap02.ppt"

Transcription

1 第 2 章 HDL 入门指南 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn

2 module- 模块 Verilog 描述的基本单位 用于描述电路的功能 结构及与其他 module 的通信端口 一个 module 表示一个设计, 其描述方式包括 : 数据流方式 连续赋值语句 行为方式 过程语句 结构方式 其他 module 和开关级原语 (primitive) 门级原语及用户定义的原语 (UDP) 混合方式 行为 + 结构 + 数据流等 P.2

3 module- 模块 ( 续 ) module 语法格式 module module_name(port_list); Declarations: reg, wire, parameter, input, output, inout, function, task, Statements: initial statement always statement module instantiation gate instantiation UDP instantiation continuous assignment generate statement endmodule 说明部分 语句部分 放置的顺序和位置不限, 但信号说明应该在信号使用之前 最好将所有的说明放在最前面, 使得设计可读性比较好 语句之间使用分号 ; 作为分隔符,// 和 /* */ 作为注释, 标识符区分大小写 P.3

4 module- 模块 ( 续 ) module 例子 半加器 module half_adder(a, b, sum, carry); input a, b; output sum, carry; // 关键字小写 assign #2 sum = a^b; // 异或 assign #2 carry = a&b; // 与 endmodule 描述的四个端口都是 wire 类型 ( 默认的 ), 都是 1 位的, 采用数据流描述方式 ( 两条连续赋值语句 ) 语句的执行是并发的, 与语句出现的顺序无关 P.4

5 module- 模块 ( 续 ) 延时 (delay) assign #2 sum = a^b; #2 表示 a^b 的结果要延时 2 个时间单位, 才能赋值给 sum 时间单位由编译指令决定 : `timescale 1ns/100ps 表示时间单位是 1ns, 时间精度是 100ps #2->2ns, #5->5ns, #2.5 -> 2.5ns, #2.48->2.5ns 如果没有 `timescale 指令, 大部分仿真器有一个默认的时间单位, 通常是 1ns, 但 IEEE 标准没有规定默认的时间单位 P.5

6 数据流风格的描述 数据流描述方式使用连续赋值语句描述电路 在连续赋值语句中, 线网类型的变量被赋值 语法 : assign [delay] LHS_net=RHS_expression; 右边表达式中的任何一个操作数 ( 敏感量 ) 的变化, 都会引起右边表达式的重新计算, 并在指定的延时时间后将新的值赋给左边的 wire 变量 延时是可选的, 如果没有则延时为 0 P.6

7 数据流描述方式 ( 续 ) 例 :2-4 解码器 a abar un0 un1 y[0] y[1] b bbar un2 y[2] en un3 y[3] P.7

8 数据流描述方式 ( 续 ) 例 :2-4 解码器 ( 续 ) `timescale 1ns/1ns // 注意没有 ;, 一般出现在第一行 module decoder2x4(a, b, en, y); // 有分号 input a, b, en; // 输入端口信号说明 output [0:3] y; // 输出端口信号,4 位矢量 wire abar, bbar; // 线网类型,wire 连线 assign #1 abar = ~a; assign #1 bbar = ~b; assign #2 y[0] = ~(abar & bbar & en); assign #2 y[1] = ~(abar & b & en); assign #2 y[2] = ~(a & bbar & en); assign #2 y[3] = ~(a & b & en); endmodule 连续信号赋值语句, 对应的是布尔表达式 语句执行受敏感量驱动, 并发执行, 执行的顺序与语句的顺序无关 P.8

9 数据流描述方式 ( 续 ) en 例 : 2-4 解码器 ( 续 ) b `timescale 1ns/1ns module decoder2x4(a, b, y[0] en, y); y[1] input a, b, en; output [0:3] y; y[2] wire abar, bbar; assign #1 abar = ~a; y[3] assign #1 bbar = ~b; assign #2 y[0] = ~(abar & bbar & en); assign #2 y[1] = ~(abar & b & en); assign #2 y[2] = ~(a & bbar & en); assign #2 y[3] = ~(a & b & en); endmodule a 思考 :assign #5 abar = ~a; a abar 的波形是什么样的? P.9

10 行为描述方式 描述电路的行为特性, 而不考虑电路是如何构成的 使用过程性语句描述设计 : initial 语句 只执行一次 always 语句 总是在循环执行 注意 : 只有变量类型的数据才能在过程性语句中被赋值, 包括 :reg,integer,time,real, realtime 所有 initial 和 always 语句在 0 时刻并行执行 P.10

11 行为描述方式 ( 续 ) 例 : 一位全加器行为描述 module fa_seq(a, b, cin, sum, cout); // 端口列表 input a, b, cin; // 输入说明 output sum, cout; // 输出说明 reg sum, cout; // 因在过程语句中被赋值, 所以说明成 reg 类型 reg t1, t2, t3; always@(a or b or cin) begin sum = (a^b)^cin; t1 = a&cin; t2 = b&cin; t3 = a&b; cout = (t1 t2) t3; end 称为事件控制, 括号中为敏感量表, 只要敏感量中任何一个发生了事件,begin-end 对中的顺序语句才会一句一句按顺序执行 顺序的过程赋值语句执行完后,always 语句挂起, 等待敏感量表中发生新的事件, 才会再次执行 注意 :always 语句本身是并行语句, 而其中的过程赋值语句是顺序的 P.11

12 行为描述方式 ( 续 ) 过程赋值的延时 ( 可选的, 没有则为零延时 ) 过程赋值有两种延时 : 语句间延时 : 延时语句的执行 语句内延时 : 右边表达式的值延时赋给左边的变量 例 :sum = (a^b)^cin; //1 #4 t1= a&cin; //2 语句 2 在语句 1 执行后延时 4 个时间单位再执行 sum = #3 (a^b)^cin; 右边表达式计算得到结果, 等待 3 个时间单位后再赋值给 sum P.12

13 行为描述方式 ( 续 ) 过程赋值延时示例 `timescale 1ns/1ns module generate_wave(mclr, wren); output reg mclr, wren; initial begin // 只执行一次, 然后永远挂起 mclr = 0; // 这里用的是阻塞赋值, 在 0ns 执行 wren = 0; // mclr = #5 1; // 0ns 执行,5ns 赋值 wren = #3 1; // 5ns 执行,8ns 赋值 mclr = #6 0; // 8ns 执行,14ns 赋值 wren = #2 0; //14ns 执行,16ns 赋值 end endmodule mclr wren P.13

14 结构化描述方式 语言形式的原理图 Verilog 中可以使用的结构描述有 : 内置门原语 primitive( 门级 ); 开关级原语 ( 晶体管级 ); 用户定义原语 ( 门级 )UDP; module 实例 ( 层次化设计 ); 例 : 一位全加器结构描述 module fa_str(a, b, cin, sum, cout); input a, b, cin; output sum, cout; wire s1, t1, t2, t3; xor ux1(s1, a, b), ux2(sum, s1, cin); and ua1(t3, a, b), ua2(t2, b, cin), ua3(t1, a, cin); or uo1(cout, t1, t2, t3); endmodule 内置门 实例名 使用门实例语句引用内置门, 线网类型的变量 s1 t1 t2 和 t3 连接不同的内置门 实例语句是并行语句, 与语句出现的顺序无关 内置门的第一个端口为输出, 其余都为输入 P.14

15 结构化描述方式 ( 续 ) 使用结构化描述可以实现层次化设计 例 :4 位全加器 ( 由前面描述的 1 位全加器构成 ) cout sum cout sum cout sum cout sum P.15

16 结构化描述方式 ( 续 ) 例 :4 位全加器 ( 续 ) module four_bit_fa(fa, fb, fcin, fsum, fcout); parameter SIZE=4; input [SIZE:1] fa, fb; output [SIZE:1] fsum; input fcin; output fcout; wire [1:SIZE-1] ftemp; fa_str 模块名 ufa1(.a(fa[1]),.b(fb[1]),.cin(fcin),.sum(fsum[1]),.cout(ftemp[1])), ufa2(.a(fa[2]),.b(fb[2]),.cin(ftemp[1]),.sum(fsum[2]),.cout(ftemp[2])), ufa3(fa[3], fb[3], ftemp[2], fsum[3], ftemp[3]), ufa4(fa[4], fb[4], ftemp[3], fsum[4], fcout); endmodule 端口名称关联, 可以不按顺序 格式 :.port_name(net_name) 端口位置关联, 出现的顺序非常重要, 与 module 定义的端口顺序要一致, 不联的端口用,, 形式给出 P.16

17 混合设计描述方式 上述的描述方式, 数据流方式 / 结构方式 / 行为方式可以自由的混合使用 注意两点 :1 在 always 语句和 initial 语句中, 只有寄存器类型的数据可以被赋值 ; 2 连续赋值语句和门 实例的输出, 只能驱动线网类型的数据, 即对应物理连线 P.17

18 混合设计描述方式 ( 续 ) 例 :1 位全加器的混合设计方式 module fa_mix(input a, b, cin, output sum, output reg cout); reg t1, t2, t3; wire s1; xor ux1(s1, a, b); always@(a or b or cin) begin t1=a&cin; t2=b&cin; t3=a&b; cout<=(t1 T2) T3; end assign sum = s1 ^ cin; endmodule 不同类型的端口之间用逗号分隔 三个语句是并行的, 与出现顺序无关 第 1 句受 A B 上的事件驱动, 有事件语句就执行 第 2 句 always 受 A B 和 Cin 上的事件驱动, 有事件语句就执行 第 3 句为连续赋值语句, 只要 S1 或者 Cin 上有事件, 语句就执行 注意 Sum 没有定义, 默认为 wire 类型 P.18

19 设计的仿真 (simulation) Verilog 除了可以描述电路设计 建模外, 还能提供激励, 控制激励, 存储响应, 验证设计 这就是仿真 (simulation) 例 :`timescale 1ns/1ns module fa_top; reg pa, pb, pci; wire pco, psum; fa_seq uf1(pa, pb, pci, psum, pco); / / 引用被测模块, 位置关联 initial begin: blk_only_once // 语句标号, 没有局部定义可以不要 reg [3:0] pal; // 局部变量定义, 必须加上面的语句标号 for(pal=0;pal<8;pal=pal+1) begin // 循环, 产生 PA, PB, PCi 上的激励 {pa, pb, pci} = pal; #5 $display( pa, pb, pci = %b%b%b, pa, pb, pci, : : : pco, psum=%b%b, pco, psum); // 打印输出 end end endmodule P.19

20 设计的仿真 ( 续 ) 终端屏幕输出 pa, pb, pci = 000 : : : pco, psum = 00 pa, pb, pci = 001 : : : pco, psum = 01 pa, pb, pci = 010 : : : pco, psum = 01 pa, pb, pci = 011 : : : pco, psum = 10 pa, pb, pci = 100 : : : pco, psum = 01 pa, pb, pci = 101 : : : pco, psum = 10 pa, pb, pci = 110 : : : pco, psum = 10 pa, pb, pci = 111 : : : pco, psum = 11 pci pb 0 5ns 10ns 15ns 20ns 25ns 30ns 35ns 40ns 波形 pa psum pco P.20

21 设计的仿真 ( 续 ) 例 2, 验证 rs_flipflop(rs 触发器 ) 首先有 rs_flipflop 的 Verilog 描述 `timescale 10ns/1ns module rs_flipflop(q, qbar, r, s); output q, qbar; input r, s nand #1 (q, s, qbar); nand #1 (qbar, r, q); endmodule 思考 : 与非门延时是多少? 注意 : 例子中没有写实例名, 在门实例语句中, 实例名可以不写, 是可选的 module 实例名必须写 P.21

22 设计的仿真 ( 续 ) RS_FF 验证代码 module test_rs_flipflop; reg ts, tr; wire tq, tqb; rs_flipflop u_rsff(.q(tq),.s(ts),.r(tr),.qbar(tqb)); initial begin // 第一个 initial 语句给出激励 tr=0; ts=0; #5 ts=1; #5 ts=0; tr=1; #5 ts=1; tr=0; #5 ts=0; #5 tr=1; end 过程赋值语句语句间延时 initial $monitor( at time %t,, $time, tr=%b, ts=%b, tq=%b, tqb=%b, tr, ts, tq, tqb); endmodule 第 2 个 initial 语句只有一个语句, 系统任务 $monitor, 只要表中的变量有变化, 就会按照指定的格式输出 P.22

23 设计的仿真 ( 续 ) 仿真结果输出 ( 字符终端 ): at time 0, tr = 0, ts = 0, tq = x, tqb = x at time 10, tr = 0, ts = 0, tq = 1, tqb = 1 at time 50, tr = 0, ts = 1, tq = 1, tqb = 1 at time 60, tr = 0, ts = 1, tq = 1, tqb = 0 at time 100, tr = 1, ts = 0, tq = 1, tqb = 0 at time 110, tr = 1, ts = 0, tq = 1, tqb = 1 at time 120, tr = 1, ts = 0, tq = 0, tqb = 1 at time 150, tr = 0, ts = 1, tq = 0, tqb = 1 at time 160, tr = 0, ts = 1, tq = 1, tqb = 1 at time 170, tr = 0, ts = 1, tq = 1, tqb = 0 at time 200, tr = 0, ts = 0, tq = 1, tqb = 0 at time 210, tr = 0, ts = 0, tq = 1, tqb = 1 at time 250, tr = 1, ts = 0, tq = 1, tqb = 1 at time 260, tr = 1, ts = 0, tq = 0, tqb = 1 P.23

24 设计的仿真 ( 续 ) 输出波形 P.24

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

Microsoft PowerPoint - chap05

Microsoft PowerPoint - chap05 第 5 章门级建模 -Verilog 内置基本门 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn 信号强度 (10.12 节 ) 信号除了 4 个基本值以外, 还可以指定强度 强度分为驱动强度和电荷强度 驱动强度 : 指门级元件输出端的驱动强度, 当一条线接多个输出时, 各个输出的驱动强度不同将最终决定连线的逻辑状态 可以在 3 种情况下为线网指定驱动强度 线网声明赋值语句中的线网变量

More information

本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用该语言在各种层次上对数字系统的建模方法

本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用该语言在各种层次上对数字系统的建模方法 Verilog HDL 硬件描述语言 原书名 A Verilog HDL Primer (second Edition) 原出版社 Star Gralaxy Publishing 作 者 J.Bhasker 译 者 徐振林等 丛书名 电子工程丛书 出版社 机械工业出版社 书 号 7-111-07890-X 页 码 171 出版日期 2000 年 7 月 本书简要介绍了 Verilog 硬件描述语言的基础知识,

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

数字逻辑设计2013

数字逻辑设计2013 第四讲 Verilog, FPGA, Lab 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/28spring 课程回顾 : 布尔函数 将一个开关函数 f 对于其变量每种可能取值的结果用表的形式表示 对应逻辑 真 ; 对应逻辑 假 三个基本函数 : 与 (AND) 或 (OR) 非 (NOT) 的真 值表 a b f(a,

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA33BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA33BDB22E BBCE6C8DDC4A3CABD5D> 第 3 讲 Verilog HDL 卓越工程师 EDA 技术及应用 Tu Qiu 1 第 4 章 Verilog HDL 本章概要 : 本章介绍硬件描述语言 Verilog HDL 的语言规则 数据类型和语句结构, 并介绍最基本 最典型的数字逻辑电路的 Verilog HDL 描述, 作为 Verilog HDL 工程设计的基础 知识要点 : (1)Verilog HDL 设计模块的基本结构 (2)Verilog

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 7 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 2017 年春 6 Verilog 硬件描述语言 6.1 硬件描述语言简介 6.2 Verilog HDL 与 C 语言 6.3 Verilog 的数据类型 6.4 Verilog 运算符及优先级 6.5 Verilog 模块的结构 6.6 Verilog 设计的层次与风格 6.7 Verilog 行为语句

More information

幻灯片 1

幻灯片 1 Verilog 红宝书 _ 基本语法 阿东 恒创科技 简介 大家可以叫我阿东, 我在通信行业做了 6 年的芯片设计, 做了几款大型路由器和交换机芯片, 写了 6 年的 Verilog, 对 Verilog 是熟悉的不能再熟悉了, 对数据通信 QOS 有深入研究和实现, 精通数据通信各种协议, 对通信网络有较深理解 精通 ASIC FPGA 和 Verilog 架构 方案 实现设计 希望我的经历能让大家掌握项目开发的编码规范和方案设计,

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

<4D F736F F D BCBCCAF5BCB0D3A6D3C3B5E7D7D3BDCCB0B8B5DA33BDB22E646F63>

<4D F736F F D BCBCCAF5BCB0D3A6D3C3B5E7D7D3BDCCB0B8B5DA33BDB22E646F63> 第 3 讲 Verilog HDL 3.1 Verilog HDL 入门 3.1.1 Verilog HDL 程序模块结构 3.1.1 模块端口定义模块端口定义用来声明设计电路模块的输入输出端口, 端口定义格式如下 module 模块名 ( 端口 1, 端口 2, 端口 3, ); 在端口定义的圆括弧中, 是设计电路模块与外界联系的全部输入输出端口信号或引脚, 它是设计实体对外的一个通信界面, 是外界可以看到的部分

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

文档 1

文档 1 2005 3 100 2005 10 20 100 100 2003 9 30 266,303,262.93 100 941,105,695.50 532,672,384.52 1 2 100 100 100 90% 100 50% 100 100 2005 8 21 "75% 100 + 25% " 2005 8 22 100 95%+ 5% 3 75% + 25% 2005 7 1 2005 9

More information

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的 寻 访 名 城 前 诗 学 符 号 的 原 乡 要 要 叶 名 城 往 事 记 忆 之 旅 曳 总 序 海 男 呈 现 在 我 们 眼 前 的 这 套 叶 名 城 往 事 记 忆 之 旅 曳 丛 书 袁 从 一 开 始 就 打 开 了 时 间 地 图 和 历 史 相 遇 中 的 旅 行 线 路 遥 在 这 个 逐 渐 丧 失 记 忆 力 和 想 象 力 的 二 十 一 世 纪 袁 重 新 回 到 原

More information

壹、摘 要

壹、摘  要 彰 化 縣 102 年 度 國 民 中 小 學 學 生 獨 立 研 究 作 品 徵 選 作 品 說 明 書 作 品 編 號 : 組 別 : 國 小 高 年 級 組 ( 四 五 六 年 級 ) 國 中 組 數 學 類 自 然 與 生 活 科 技 類 人 文 社 會 類 作 品 名 稱 : 山 水 之 間 ~ 福 佬 客 ( 山 ) 在 閩 南 族 群 ( 水 ) 的 尋 根 第 一 階 段 研 究 訓

More information

座號

座號 學 姐 們 的 升 學 經 驗 分 享 以 下 是 98 學 年 度 畢 業 數 理 資 優 班 學 姐 們 的 應 試 秘 笈 林 耀 星 老 師 註 : 以 下 問 題 之 回 答, 若 前 面 為 (1), 表 示 為 (1) 劉 思 伶 學 姐 之 建 議, 以 此 類 推, 回 答 問 題 之 學 姐 共 10 位, 如 下 表 : 座 號 姓 名 考 上 的 科 系 強 科 (1) 劉

More information

PowerPoint Presentation

PowerPoint Presentation 第三章 Verilog HDL 语句与语法 3.1 Verilog 模块结构 Verilog 基本设计单元是 模块 (module) 一个模块是由两部分组成, 一部分描述接口, 另一部分描述功能 端口定义 : 内部信号说明 : 功能定义 : 各种语句完成逻辑功能 always 通常时序 assign 通常组合逻辑 全加器 module adder(cout,sum,a,b,cin);// 端口定义

More information

PowerPoint Presentation

PowerPoint Presentation Verilog HDL 的基本知识 周立功 Actel 产品线 作者简介 20 世纪 60 年代毕业于清华大学自控系计算与技术专业 北京航空航天大学教授, 主要的研究领域为嵌入式数字系统的设计 夏宇闻教授 1995 年开始筹建我国首个 EDA 实验室, 在其后十几年间为航天部设计多个复杂数字电路 2006 年至今受聘于神州龙芯集成电路设计公司担任技术顾问 概述 数字通信和自动化控制等领域的高速度发展和世界范围的高技术竞争对数字系统提出了越来越高的要求,

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

"#$% & ( )*+,,, -+./01 234,+536,, : 3 ; 33 < =>5+ +,,,%B?B6B B? )-,,,>-% ) ) ) ) ) C C )>4,D--?> -&6+ )5 +4 )+B, +,,-- +,,-- )-(4,,, )

#$% & ( )*+,,, -+./01 234,+536,, : 3 ; 33 < =>5+ +,,,%B?B6B B? )-,,,>-% ) ) ) ) ) C C )>4,D--?> -&6+ )5 +4 )+B, +,,-- +,,-- )-(4,,, ) "#$% & ( )*+,,, -+./01 234,+536,,-35 7 8 9 : 3 ; 33 < =>5+ +? @.A +,,,%B?B6B B? )-,,,>-% ) ) ) ) ) C C )>4,D--?> -&6+ )5 +4 )+B, +,,-- +,,-- )-(4,,, )-+ >, / %%%%%%%%%%%%%%%%%% "#$ "$ %%%%%%%%%%%%%%%%%%%%

More information

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A 分 级 基 金 入 门 1 概 述 分 级 基 金 是 指 通 过 对 基 金 收 益 分 配 的 安 排, 将 基 金 份 额 分 成 预 期 收 益 与 风 险 不 同 的 两 类 或 多 类 份 额, 并 将 其 中 一 类 份 额 或 多 类 份 额 上 市 进 行 交 易 的 结 构 化 证 券 投 资 基 金 通 常 所 谈 到 的 分 级 基 金 是 将 母 基 金 产 品 ( 可 以

More information

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 年 教 学 工 作 安 排 2015 2016 学 年 第 二 学 期 教 学 工 作 计 划 二 O 一 六 年 三 月 十 日 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调

More information

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63> 一 九 八 八 年 创 刊 回 忆 与 研 究 第 十 六 辑 上 海 市 新 四 军 历 史 研 究 会 浙 东 浙 南 分 会 编 二 O 一 三 年 十 二 月 1 ( 封 面 折 页 字 ) 编 委 会 顾 问 丁 公 量 丁 柯 吴 文 达 汪 志 荣 戚 南 强 李 国 经 主 编 陈 晓 光 副 主 编 葛 奇 忠 方 思 朋 编 委 ( 按 姓 氏 笔 画 为 序 ) 方 思 朋 王

More information

萧山中学课程建设方案.doc

萧山中学课程建设方案.doc - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - 2 2 4 4 4 2 2 4 4 4 4 4 5 5 4 4 4 4 5 5 4 4 4 4 5 5 3 3 3 3 4(2) 4(2) 4(2) 4(2) 4 4 4 4 3 3 3 3 3 3 4 4 4 4 4(2) 4(2) 4(2) 4(2) 2 2 2 2 4 4 4 4 2 2 2 2 2

More information

( ) 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 121.2 117.5 125.8 122.0 130.9 126.8 135.4 131.5 140.3 136.0 144.9 140.2 153.5 147.9 160.6 154.7 165.1 160.3 167.7 163.9 169.3 165.8 169.6 166.7 170.0 167.8

More information

Microsoft Word - 9pinggb_A4.doc

Microsoft Word - 9pinggb_A4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 3 九 评 之 一 评 共 产 党 是 什 么... 4 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

Microsoft Word - 9pinggb_A4-f4.doc

Microsoft Word - 9pinggb_A4-f4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 4 前 言...5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面 目 录 理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 1 信 息 交 流 省 编 办 刘 维 寅 副 主 任 到 我 市 对 市 县 政 府 机 构 改 革 工 作 进 行 实 地 评 估 11 我 市 部 分 部 门 试 点 实 行 部 门 内 部 行 政 审 批 制 度 改 革 工 作 13 我 市 三 项 措 施 确 保 机 构 编 制 监 督 活 动 常 态 化 14 基 层

More information

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现 前 言 日 本 是 我 们 的 邻 国 文 献 可 考 的 两 国 关 系, 至 少 已 有 21 个 世 纪 古 代 日 本 文 化 的 发 展 晚 于 中 国, 而 日 本 民 族 却 能 在 固 有 文 化 的 基 础 上 对 外 国 文 化 采 取 选 择 吸 收 和 创 新 的 态 度, 形 成 自 己 独 特 的 文 化 封 建 末 期, 中 国 和 日 本 同 受 西 方 列 强 的

More information

2深化教育教学改革、创新人才培养模式

2深化教育教学改革、创新人才培养模式 高 职 物 流 管 理 专 业 人 才 培 养 模 式 的 创 新 与 实 践 1 李 选 芒 2 赵 居 礼 摘 要 高 职 物 流 管 理 专 业 人 才 培 养 模 式 改 革 的 重 点 是 明 确 专 业 培 养 目 标, 构 建 适 应 培 养 目 标 的 课 程 体 系, 营 造 职 业 技 术 训 练 的 教 环 境, 建 设 双 师 结 构 的 师 资 队 伍 陕 西 工 业 职

More information

,,,,,,,,,,,,,, :,,,, 1 ?,,, :,,,?,,?, :,,,,,,,,,? :,, :,,?, :??, :,!,, 2 ,,,,,,,,,,,,,,,,,?,,,,,,,,,,,,,, :, 3 !?!?,!,!,, :,,,,,,,,,,,,,,,,,,,,,,,,,? :??,, 4 ,,,,,,, :?, :,,,,,,,,,,,,,, 5 ,,,,,,,,,,,,,,,,,,,,,,,,,?,??

More information

Microsoft Word - 9pinggb_let.doc

Microsoft Word - 9pinggb_let.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 5 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到 附 件 :.014 年 实 习 生 进 出 实 习 单 位 用 车 方 案 南 京 医 科 大 学 014 年 6 月 实 习 学 生 进 出 实 习 单 位 用 车 计 划 教 务 处 编 014 年 6 月 5 日 实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名

More information

简报158期.doc

简报158期.doc 党 员 干 部 现 代 远 程 教 育 简 报 第 15 期 ( 总 第 158 期 ) 中 共 山 东 省 委 农 村 党 员 干 部 现 代 远 程 教 育 领 导 协 调 小 组 办 公 室 2012 年 10 月 31 日 喜 迎 十 八 大 威 海 市 组 织 开 展 系 列 主 题 宣 传 活 动 迎 接 党 的 十 八 大 一 是 发 挥 党 建 电 视 栏 目 作 用 强 化 宣 传

More information

Microsoft Word - 9pingb5_let.doc

Microsoft Word - 9pingb5_let.doc 九 評 共 產 黨 大 紀 元 系 列 社 論 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 錄 公 告 大 紀 元 發 表 系 列 社 論 九 評 共 產 黨... 3 九 評 之 一 評 共 產 黨 是 甚 麼... 4 前 言... 4 一. 以 暴 力 恐 怖 奪 取 和 維 持 政 權... 5 二. 以 謊 言 為 暴 力

More information

103.06.23退休權益.ppt [相容模式]

103.06.23退休權益.ppt [相容模式] 臺 中 市 政 府 人 事 處 不 可 不 知 之 退 休 權 益 1 大 綱 一 退 休 撫 卹 理 論 二 現 行 退 休 制 度 (85 制 ) 三 再 任 停 止 領 受 月 退 休 金 及 優 惠 存 款 四 案 例 分 享 五 公 務 人 員 退 休 撫 卹 法 草 案 (90 制 ) 六 公 務 人 員 保 險 法 103 年 1 月 29 日 修 法 七 結 語 一 退 休 撫 卹

More information

Microsoft Word - 1.《國文》試題評析.doc

Microsoft Word - 1.《國文》試題評析.doc 許 清 龍 老 師 試 題 評 析 國 文 試 題 評 析 / 命 中 事 實 100 學 年 度 私 醫 聯 招 的 國 文 科 考 題, 有 上 課 的 同 學 應 該 發 出 會 心 一 笑, 甚 至 狂 笑, 因 為 老 師 的 命 中 率 實 在 太 高 了 除 了 基 本 的 字 音 字 形 字 義 成 語 的 題 型 外, 時 序 的 題 型 考 了 干 支 判 別 題 目 完 全 可

More information

Ps22Pdf

Ps22Pdf ,,,,,,,,,,, ( ),,, :,,,, ",,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,,,,,,,, 1. 1. 1 (, ),,,,,,,,,,,, 2 ( ),,,,,,, ( ),,,, ( ),,,,,,,,,,, 3 1. 2,,,, ;, ;, ;,,,, ( ), :,,,,,,,,,, : 4 ,,,,,, ( / ),,,,,,,, ( ) ( ),,,,

More information

$%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%% :::; 7<9; %-%, 3$%$ :::;

$%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%% :::; 7<9; %-%, 3$%$ :::; $%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%%-- 7889 :::; 7

More information

# $# #!# # # # # # # %# # # &# # # # #! "

# $# #!# # # # # # # %# # # &# # # # #! "!! " "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #$% & (($ (($!$ ) #) )!* )!!+ # )!) "!+ ) #!+ + )!*!!, +!-!#!+,!( # $!+!!) ) "!, ) #! )!$ )! )!$!#! +!* " #!) #!)!( + *!* $!(!+! " $!$ # $!$ +!,!,!) ) &! " #

More information

zt

zt !" !"!"!###!$ !!" #$ %& ( $( )% &# *%!($!#!!%%!"%! &!)%!&"!* #($!& # (!! ?!!""#!$ % # & %!"#$%&"" ()))*)))+ (,)-#*),+./,),),)0 12122222+ (3333333+ 4),),),)0 (,)5677,+ ()))89))+ :;;5 $ # ( )$ # ( ($

More information

勞動條件檢查執行重點(雲林)_1050323 [相容模式]

勞動條件檢查執行重點(雲林)_1050323 [相容模式] 勞 動 條 件 檢 查 執 行 重 點 主 講 : 雲 林 縣 政 府 勞 工 處 大 鋼 105 年 新 工 時 規 定 修 正 重 點 現 行 工 時 制 度 工 資 促 進 就 業 平 等 措 施 2 105 年 新 工 時 規 定 修 正 重 點 1. 原 雇 主 應 置 備 勞 工 簽 到 簿 或 出 勤 卡 之 規 定 修 正 為 出 勤 紀 錄 修 正 第 一 項 法 定 工 時 2.

More information

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板,

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板, 家 事 生 活 小 技 巧 髒 襪 子 清 洗 撇 步 手 套 法 雙 手 套 進 襪 子 裡, 像 洗 手 套 一 樣, 利 用 手 指 左 右 揉 搓, 將 難 洗 的 污 垢 洗 乾 淨 彈 珠 法 在 洗 衣 網 內, 放 入 襪 子 以 及 約 十 顆 左 右 的 彈 珠, 利 用 彈 珠 與 襪 子 碰 撞 之 間, 將 髒 汙 從 纖 維 之 中 揉 搓 出 來 醋 水 法 在 水 盆

More information

穨2000010.PDF

穨2000010.PDF -1- -2- -3- -4- -5- -6- -7- -8- -9- -10- 89 9 7 7:30 1 9 9 7:30~9:30 1 2 3 2 9 1112 7:30~9:30 2000 1 2 3 3 10 5 1 9 2 10 5-11- 10 6 3 10 26 4 10 7 7:00 4 10 11 12 110 10 14 7 211 11 4 7 312 12 12 31 2000

More information

Microsoft Word - 完全手冊-課程.doc

Microsoft Word - 完全手冊-課程.doc 課 程 鍋 爐 具 1. 黑 鍋 : 大 鍋 ( 煮 飯 用 ) 小 鍋 ( 煮 菜 用 ) 湯 鍋 鍋 炳 大 中 小 鍋 蓋 賓 士 盤 *5 調 味 杯 ( 分 別 裝 鹽 糖 油 醬 油 沙 拉 油 ) 鍋 炳 + 大 鍋 蓋 = 平 底 鍋 2. 泥 + 水 或 牙 膏, 塗 在 鍋 底 下 煮, 鍋 底 就 不 會 被 火 燒 焦 黑 帳 篷 1. 包 含 內 帳 外 帳 2 根 營 柱

More information

第一冊 第四章 分裂與再統一 班級 座號 姓吊

第一冊  第四章  分裂與再統一             班級    座號    姓吊 石 器 文 明 石 器 時 代 文 字 發 明 前 為, 文 字 發 明 以 後 進 入 第 三 冊 ( 第 1 章 從 史 前 到 春 秋 戰 國 ) 1. 遠 古 人 類 最 初 以 為 主 要 工 具, 考 古 學 家 把 這 個 時 代 稱 為 石 器 時 代 2. 又 根 據 石 器 製 作 方 式 的 不 同, 分 為 (1) 舊 石 器 時 代 -- (2) 新 石 器 時 代 --

More information

第一部分 Verilog HDL 语言 10.1 综述 一. 什么是硬件描述语言? 硬件描述语言是一种用文本形式来描述和 设计电路的语言 是硬件设计人员和电子设计 自动化 (EDA EDA) 工具之间的界面 P.2

第一部分 Verilog HDL 语言 10.1 综述 一. 什么是硬件描述语言? 硬件描述语言是一种用文本形式来描述和 设计电路的语言 是硬件设计人员和电子设计 自动化 (EDA EDA) 工具之间的界面 P.2 第十章 Verilog HDL 语言初步 第一部分 Verilog HDL 语言 第二部分 VerilogHDL 程序设计 第三部分 MAX+plus II 与 Verilog HDL 2007-12-7 P.1 第一部分 Verilog HDL 语言 10.1 综述 一. 什么是硬件描述语言? 硬件描述语言是一种用文本形式来描述和 设计电路的语言 是硬件设计人员和电子设计 自动化 (EDA EDA)

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. / / 3 4 [1] (p.26) [2] (p.171) 3 1998 4 13 4

4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. / / 3 4 [1] (p.26) [2] (p.171) 3 1998 4 13 4 2012 13 No.13,2012 History Teaching 650 Sum No.650 510631 [ ] [ ]G63 [ ]B [ ]0457-6241 2012 13-0003-11 [1] pp.25~26 1 2.4 30 62 30 102 28 =30 2 2012-05-07 3 4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. /

More information

A$1.3600 / US$ DKr6.4500 / US$ - 12 n - 12 n 1.4310-1.4505 12 1.4505 6 1.4505-1.4310 16 1.4310 6 1.4395-1.4505 12 1.4505 3 S1(b / a) + Pb 1 S0(b / a) 1 + Pa S0(b / a) + Pa 1 S1(b / a) 1 + Pb S1(b/

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

数字逻辑设计2013

数字逻辑设计2013 第三讲逻辑门电路 ogic Gte Circuit 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digitl/2spring 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2 如何做一个能计算的设备?

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

编译原理与技术

编译原理与技术 编译原理与技术 中间代码生成 2015/11/7 编译原理与技术 讲义 1 中间代码生成 - 布尔表达式翻译 - 控制流语句翻译 2015/11/7 编译原理与技术 讲义 2 布尔表达式的翻译 布尔表达式文法 G 4 E E 1 or E 2 E 1 and E 2 not E 1 ( E 1 ) id 1 relop id 2 true false id 3 布尔运算符 or and 和 not(

More information

Microsoft PowerPoint - 07 派生数据类型

Microsoft PowerPoint - 07 派生数据类型 能源与动力工程学院 目录 派生类型 陈 斌 固有数据类型 数值型 (numerical) 整型 INTEGER 实型 REAL 复数型 COMPLEX 非数值型 字符型 CHARACTER 逻辑型 ( 布尔型 )LOGICAL 自定义数据类型 ( 派生类型, derived type) 派生类型是指用户利用 Fortran 系统内部类型, 如整型 实型 复数型 逻辑型 字符型等的组合自行创建出一个新的数据类型,

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

坐 公 車 好 處 多 得 獎 者 : 李 宥 萱 指 導 老 師 : 陳 淑 鈴 我 家 住 在 鄉 下, 離 市 區 有 段 距 離 每 個 禮 拜 三 和 禮 拜 六 我 都 要 到 市 區 補 習, 以 前 都 是 媽 媽 特 地 從 大 老 遠 的 地 方 開 車 回 來 載 我 這 實

坐 公 車 好 處 多 得 獎 者 : 李 宥 萱 指 導 老 師 : 陳 淑 鈴 我 家 住 在 鄉 下, 離 市 區 有 段 距 離 每 個 禮 拜 三 和 禮 拜 六 我 都 要 到 市 區 補 習, 以 前 都 是 媽 媽 特 地 從 大 老 遠 的 地 方 開 車 回 來 載 我 這 實 富 有 的 標 準 得 獎 者 : 朱 庭 萱 指 導 老 師 : 陳 怡 君 有 一 種 付 出, 如 工 蜂 般 默 默 奉 獻 自 己 的 一 生, 沒 有 怨 言 ; 有 一 種 付 出, 如 蚯 蚓 般 不 受 重 視, 沒 有 掌 聲, 卻 不 能 沒 有 ; 有 一 種 付 出, 如 落 葉 班 滋 養 大 地, 不 求 回 報, 那 就 是 無 私 的 貢 獻 一 般 人 總 是 以

More information

開放電腦計畫 -- 計算機硬體結構

開放電腦計畫 -- 計算機硬體結構 開 放 電 腦 計 畫 -- 計 算 機 硬 體 結 構 2014 年 7 月 出 版 作 者 : 陳 鍾 誠 ( 創 作 共 用 : 姓 名 標 示 相 同 方 式 分 享 授 權 ) 開 放 電 腦 計 畫 -- 計 算 機 硬 體 結 構 前 言 序 授 權 聲 明 開 放 電 腦 計 畫 簡 介 硬 體 : 計 算 機 結 構 軟 體 : 系 統 程 式 結 語 參 考 文 獻 電 腦 硬

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

Microsoft Word - 目次範例-catalog016204.doc

Microsoft Word - 目次範例-catalog016204.doc 財 政 經 濟 篇 行 政 規 則 行 政 院 金 融 監 督 管 理 委 員 會 令 中 華 民 國 99 年 10 月 25 日 金 管 銀 法 字 第 09910003990 銀 行 辦 理 結 構 型 商 品 所 收 本 金 性 質 及 會 計 處 理 之 相 關 事 項 規 定 如 下 : 一 結 構 型 商 品 所 收 之 本 金 不 視 為 存 款, 該 本 金 於 計 算 銀 行 法

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

光绪帝

光绪帝 ... 1... 2... 2... 4... 11... 11... 13... 15... 16... 18... 20... 20... 24... 26... 28... 30... 32... 32... 35... 36... 41... 44... 48... 51... 51... 52 ... 55... 58... 58... 60... 62... 64 1871 8 14 7

More information

<C1F7CCE5C1A6D1A7D3EBC8C8B9A4BBF9B4A12DB3C2C0F12DC7E5BBAAB4F33F504446>

<C1F7CCE5C1A6D1A7D3EBC8C8B9A4BBF9B4A12DB3C2C0F12DC7E5BBAAB4F33F504446> 5,,,,,,,,,, 5.1,, N2, O2, CO2, H2 O,,, 5.1.1,,,,,,,,,,,,,,,, 1., ta, tv t = ta = tv ( 5 1) v, a, 52 p = pa, pb, pb = pa + pv ( 5 2) pa, pv 2. (1 ) 1m 3,, v, pv t ps,, 5 1 a,,,, 5 1 a b t p s, b,,,, ps

More information

修 正 臺 中 市 西 區 區 公 所 編 制 表... 訂 定 臺 中 市 政 府 應 用 勞 工 保 險 資 料 管 理 要 點... 53 55 政 令 臺 中 市 政 府 警 察 局 第 六 分 局 警 員 蔡 榮 貴 警 務 佐 法 成 德 違 法 失 職 案, 經 公 務 員 懲 戒 委

修 正 臺 中 市 西 區 區 公 所 編 制 表... 訂 定 臺 中 市 政 府 應 用 勞 工 保 險 資 料 管 理 要 點... 53 55 政 令 臺 中 市 政 府 警 察 局 第 六 分 局 警 員 蔡 榮 貴 警 務 佐 法 成 德 違 法 失 職 案, 經 公 務 員 懲 戒 委 秋 字 第 二 期 法 規 修 正 臺 中 市 政 府 補 助 影 視 業 者 拍 片 取 景 辦 法, 名 稱 並 修 正 為 臺 中 市 政 府 補 助 及 協 助 拍 片 取 景 辦 法... 訂 定 臺 中 市 社 會 住 宅 出 租 辦 法... 修 正 臺 中 市 兒 童 及 少 年 家 庭 寄 養 辦 法... 修 正 臺 中 市 臨 時 性 建 築 物 管 理 辦 法 第 八 條 第

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Microsoft Word - EDA2006_A_Answer

Microsoft Word - EDA2006_A_Answer 大规模数字集成电路设计 试卷 A 标准答案与评分细则 ( 卷面总分 :80 分 ) 一. 名词解释 (2 分 6 题 )( 评分标准 : 给出正确英文的 2 分 / 题, 仅给中文解释 1 分 / 题 ) 1. EDA:Electronic Design Automation 2. FPGA:Field Programmable Gate-Array 3. ASIC:Application Specific

More information

简单的组合逻辑设计

简单的组合逻辑设计 设计练习进阶 前言 : 在前面九章学习的基础上, 通过本章十个阶段的练习, 一定能逐步掌握 Verilog HDL 设计的要点 我们可以先理解样板模块中每一条语句的作用, 然后对样板模块进行综合前和综合后仿真, 再独立完成每一阶段规定的练习 当十个阶段的练习做完后, 便可以开始设计一些简单的逻辑电路和系统 很快我们就能过渡到设计相当复杂的数字逻辑系统 当然, 复杂的数字逻辑系统的设计和验证, 不但需要系统结构的知识和经验的积累,

More information

: ( ) : : / : ISBN 7-5017 - 6110-3/ F5112 : 5. 00 : 2006 2 1 CIP (2006) 039755

: ( ) : : / : ISBN 7-5017 - 6110-3/ F5112 : 5. 00 : 2006 2 1 CIP (2006) 039755 : ( ) : : / : ISBN 7-5017 - 6110-3/ F5112 : 5. 00 : 2006 2 1 CIP (2006) 039755 1 2 9 16 20 22 25 30 38 41 47 56 61 66 70 75 82 1 7 90 95 100 107 115 121 : 128 134 139 150 2 , 1,,,,,,, :, 2001 11 23,, 8,,,,

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

`§Ù©u•Z37¥¡-4Æ’ Z.pdf

`§Ù©u•Z37¥¡-4Æ’ Z.pdf 1 9 9 9 2 0 0 3 2 005 WI a b c d e 2.0 -----------(1) 8 March.15.2005 WI a b c d 2. 0 2. 0 1 0 S PA ( m i t i g a t i o n ) 37 9 Wr / R c Rc ( 1 ) Vs (2) R c Ws Wt ----------------------(1) Vs Ns Ws ---------------------------------(2)

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

untitled

untitled , ( ),,, ( ) :, ( ) ( ) : : : ( ) : : : 2 2 1 : : ,,,,,,,,,,,,,,,,,,,, ;,,, 6,,,,,,,,,,,,,,,,, 8 ( ) 2 3 4 5 6 ( ) 7 8 9 ,,,,, 1, ( ),,,,,,,,,,,,,, 3 t,,, ;,,,,,,,, t, 3,, 8 t,,,,, : (1 ),,, ; (2 ),,,,,

More information

chp3

chp3 Java 软件设计基础 3. 流程控制 3.1 语句控制结构 语句类型 变量声明语句 用来声明变量, 格式为 : 表达式语句 在一个表达式的最后加上一个分号构成的语句, 分号是语句不可缺少的部分, 格式为 : 变量 = 表达式 ; 复合语句 [ 修饰符 ] 类型名变量名 1[, 变量名 2][, ]; [ 修饰符 ] 类型名变量名 1[= 初值 1][, 变量名 2][= 初值 2][, ]; 将相关语句组合在一起就构成复合语句,

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information