穨R _report.PDF

Size: px
Start display at page:

Download "穨R _report.PDF"

Transcription

1 TERM PROJECT R

2 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ), Ó-Ä modulation, reference[9], Ó-Ä modulation,, SECTION 1. : Sigma-Delta Modulation b-bit ADC( Ft Hz); Rfs, (voltage step)äv=rfs / (2^b - 1) Rfs / (2^b), error -ÄV/2 ÄV/2, error voltage RMS quantization noise power e =ÄV /12;, 0 ~ Ft/2 [4] ( 2^b 2 b )

3 Low sampling rate Ft /2 Noise density Frequency Fm : signal band (0 ~ Fm) High sampling rate Ft/2 noise power per unit bandwidth(noise density) Pe,n =(ÄV /12) / (Ft/2) in-band noise power Ptotal = Pe,n Fm = { (ÄV /12) / (Ft/2) } Fm ----( * ) = { ( (Rfs / (2^b)) /12) / (Ft/2) } Fm = (ÄV /12)(1/OSR) oversampling ratio (OSR) M= Ft / (2 Fm) Ft = (2 Fm) M=1 b Ptotal = (Rfs / (2^ )) /12 =b M OSR double ( M ) ={ ( (Rfs / (2^b)) /12) / (Ft/2) } Fm =( (Rfs / (2^b)) /12) (1/M) (resolution) 0.5 (bit) & Ptotal 3dB ( b) b ADC [4], (2^b)(ÄV/2) signal power Ps={[(2^b)(ÄV/2)]/ 2}

4 SNR=10 [ Ps/ Ptotal ] =6.02 b (OSR) 6.02dB 1 Example 1 [7] 1 ADC 6dB SNR, Fm=25KHz, 96dB SNR( 16 ),? 96-6=90 db SNR double OSR Ptotal 3dB SNR 3dB OSR=2^30 Ft=2^30 (2 Fm)=54000 GHz Oversampling SNR! Oversampling with Noise-Shaping( ÓÄM ), : (a) ÓÄ Modulator (b) modulator ( Ft >> Fm ) (transfer function) STF (Z) Y(Z)/U(Z) = H(Z) / (1+H(Z)) (quantization error) N TF (Z) Y(Z)/E(Z) =1 / (1+H(Z)) (superposition) Y(Z)= STF (Z) U(Z) +N TF (Z) E(Z) (0,Fm), N TF (Z) E(Z) N TF (Z) ---> 0 N TF (Z) Zeros H(Z) Poles, H(Z), 0 Fm magnitude! Low Pass! N TF (Z) Zeros H(Z) Poles baseband

5 (c) First-Order Noise Shaping (discrete-time integrator) H(Z)= 1/ (Z-1) H(Z) Pole Z=1 N TF (Z) Zero Z=1 dc( Z=exp( jùt ) ) (transfer function) STF (Z) Y(Z)/U(Z) = H(Z) / (1+H(Z))=1/Z 1 ; ùt (quantization error) N TF (Z) Y(Z)/E(Z) =1 / (1+H(Z))=1-1/Z High Pass N TF (Z) = 2sin(ð f / Ft) Z=exp( jùt )=exp( j 2 ð f T) f << Ft N TF (Z) 2(ð f / Ft) ÓÄ Modulator modulation noise power spectral density Py(f) = N TF (Z) Pe,n [2(ð f / Ft) ] (ÄV /12) / (Ft/2) = 2/3 ð ÄV ² ³, f << Ft sigma-delta ADC in-band noise power P total,sd = Py(f) df =2/9ð ÄV ³ ³, ( * ), Oversampling in-band noise power Ptotal, os= Pe,n Fm = { (ÄV /12) / (Ft/2) } Fm, noise performance 10 log 10 ( Ptotal, os / P total,sd ) = log 10 M db, (OSR M)

6 OSR 2 6dB 1 (sigma-delta ADC Oversampling ADC OSR ), (2^b)(ÄV/2) signal power Ps={[(2^b)(ÄV/2)]/ 2} SNR=10 [ Ps/ Ptotal,sd] =6.02 b (M) 6.02dB 1 (OSR M) OSR (sigma-delta ADC Oversampling ADC ), modulator, ( dc) (idle tone),,,, audio signal, sigma-delta modulator [3][7][8] sigma-delta modulator (transfer function) STF (Z) 1/Z (quantization error) N TF (Z) (1 1/Z) SNR=10 [ Ps/ Ptotal,sd] =6.02 b (M) OSR 2 SNR 15dB 2.5 Example1 sigma-delta modulator Ft = 2^((90+5)/9) 2 Fm = 75MHz sigma-delta modulator Ft = 2^((90+13)/15) 2 Fm = 5.8MHz CD Fm 24KHz 44.1KHz 2.586MHz N TF (f) sigma-delta modulator Noise Power SNR ; Noise Power,, sigma-delta modulator, error-feedback

7 ERROR-FEEDBACK ARCHITECTURE (transfer function) STF (Z) 1 (quantization error) N TF (Z) G(Z) G(Z)=1 1/Z, N TF (Z)=1 0.99/Z zero dc H(Z) ;,, error feedback! (! )

8 Three-Stage MASH Operation[5][6] ADC, triple-integration noise shaping, oscillation triple-integration noise shaping, first-order Ó-Ä quantizers three-stage MASH, (MASH 1-1-1) Single-bit Ó-Ä modulation Multi-bit Ó-Ä modulation [10] SECTION 2. DIGITAL Sigma-Delta Modulator : digital sigma-delta modulator digital phase accumulator(dpa) first

9 order sigma delta modulator first order digital phase accumulatore [9] difference equation digital phase accumulatore(dpa) Rn=Rn-1+Kn-1-M carry[rn-1+kn-1] carry(x)=1 carry(x)=0 when X>=M otherwise carry(x)=(1+sign(x-m))/2 (Rn+Kn-M)=(Rn-1+Kn-1-M)+Kn-M/2-M/2 sign(rn-1+kn-1-m) Let Xn Rn+Kn-M Xn=Xn-1+Un-M/2 sign(xn-1) modulator[9] Un Kn-M/2, first order sigma delta DPA sigma delta DAC Fractional-N frequency synthesizer (bit-width) digital phase accumulator(dpa),, pipeline carry save adder( ), chip area, speed trade off, one bit pipeline, [10]

10 SECTION 3 First order modulator (frequency domain) First order modulator (time domain)

11 : : x(0)=0.1, two-level quantizer +/ 1 u(n)= y(n) x(n) n x(n) x(n+1) y(n) e(n) y(n)= [1+( 1)+1]/3 = 1/3 y(n) Low Pass Filter LPF, frequency domain [4] H(f)=[ zeros(1,n-5) 0.5 1] Matlab Code[4] clear; wo=2*pi*0.01; N=input('Type in the length of input sequence='); n=1:1:n;

12 m=(n-1); A=input('Type in the input amplitude='); x=a*cos(wo*m); axis([0 N ]); plot(m,x); xlabel('time');ylabel('amplitude'); title('input analog signal'); pause y=zeros(1,n+1); v0=0; for k=2:1:n+1; vl=x(k-1)-y(k-1)+v0; if vl >= 0; y(k)=1; y(k)=-1; end nxx(k)=vl; v0=vl; end pause yn=y(2:n+1); axis([0 N ]); stairs(m,yn); xlabel('time');ylabel('amplitude'); title('output of sigma-delta quantizer'); Y=fft(yn); pause H=[ zeros(1,n-5) 0.5 1]; YF = Y.* H; out=ifft(yf); axis([0 N ]); plot(m,out); xlabel('time');ylabel('amplitude'); title('lowpass filtered output'); pause plot(20*log(abs(y)/100))

13 :

14 Verilog HDL Implementation A. 2 bits first order digital sigma delta modulator `timescale 1 ns/ 10 ps module one_bit_acc(sum,c_out,x,c_in,clk,reset); output sum,c_out; input x,clk,reset,c_in; reg q; wire d; assign d=sum; assign sum=x^q^c_in;

15 assign c_out=(x & q) ((x q) & c_in); clk or negedge reset) if(!reset) q=1'b0; q=d; endmodule module sdm; reg[1:0] A; reg reset,mhz50; wire sum0,c_out0,sum1,c_out1; initial begin // $timeformat (-9,1,"ns",15); $dumpfile ("sdm.vcd"); $dumpvars (2,sdm); reset = 0; mhz50 = 0; A = 2'b 11; #100; reset = 1; #1000 $dumpoff; end $finish; always begin #10 mhz50 = ~mhz50; end

16 one_bit_acc oba0(sum0,c_out0,a[0],1'b0,mhz50,reset); one_bit_acc oba1(sum1,c_out1,a[1],c_out0,mhz50,reset); endmodule mhz50 system clock A = 3 2 bits DPA c_out = ( )/4=3/4 B. 2 bits MASH 1-1 `timescale 1 ns/ 10 ps module one_bit_acc(sum,c_out,x,c_in,clk,reset); output sum,c_out; input x,clk,reset,c_in; reg q; wire d; assign d=sum;

17 assign sum=x^q^c_in; assign c_out=(x & q) ((x q) & c_in); clk or negedge reset) if(!reset) q=1'b0; q=d; endmodule module sdm; reg[1:0] A; wire[2:0] com; reg reset,mhz50,q_c_out1b; wire sum0,c_out0,sum1,c_out1,sum0b,c_out0b,sum1b,c_out1b; initial begin // $timeformat (-9,1,"ns",15); $dumpfile ("sdm.vcd"); $dumpvars (2,sdm); reset = 0; mhz50 = 0; A = 2'b 11; #100; reset = 1; #1000 $dumpoff; end $finish; always begin #10 mhz50 = ~mhz50; end one_bit_acc oba0(sum0,c_out0,a[0],1'b0,mhz50,reset);

18 one_bit_acc oba1(sum1,c_out1,a[1],c_out0,mhz50,reset); one_bit_acc oba0b(sum0b,c_out0b,sum0,1'b0,mhz50,reset); one_bit_acc oba1b(sum1b,c_out1b,sum1,c_out0b,mhz50,reset); mhz50 or negedge reset) if(!reset) q_c_out1b=1'b0; q_c_out1b=c_out1b; assign com={((~c_out1) & (~c_out1b) & q_c_out1b),(c_out1 & c_out1b & (~q_c_out1b)),(c_out1^c_out1b^q_c_out1b)}; endmodule mhz50 system clock A = 3 2bits DPA c_out = ( )/8=3/4 C. 9 bits PIPELINE first order sigma-delta modulator `timescale 1 ns/ 10 ps module pipeline_sdm1(sum_t,c_out,a,mhz50,reset); output[8:0] sum_t; output c_out; input[8:0] A; input mhz50,reset; reg q_c_out0,q_c_out1; reg[2:0] q,buftmp,buf1tmp,buf2tmp;

19 reg[5:0] out; wire[8:0] sum; wire c_out0,c_out1,c_out1; three_bit_acc tda0(sum[2:0],c_out0,a[2:0],1'b0,mhz50,reset); mhz50 or negedge reset) if(!reset) q_c_out0=1'b0; q_c_out0=c_out0; mhz50 or negedge reset) if(!reset) q[2:0]=3'b000; q[2:0]=sum[2:0]; mhz50 or negedge reset) if(!reset) out[2:0]=3'b000; out[2:0]=q[2:0]; mhz50 or negedge reset) if(!reset) buftmp[2:0]=3'b000; buftmp[2:0]=a[5:3]; three_bit_acc tda1(sum[5:3],c_out1,buftmp[2:0],q_c_out0,mhz50,reset); mhz50 or negedge reset) if(!reset) q_c_out1=1'b0; q_c_out1=c_out1; mhz50 or negedge reset) if(!reset) out[5:3]=3'b000; out[5:3]=sum[5:3];

20 mhz50 or negedge reset) if(!reset) buf1tmp[2:0]=3'b000; buf1tmp[2:0]=a[8:6]; mhz50 or negedge reset) if(!reset) buf2tmp[2:0]=3'b000; buf2tmp[2:0]=buf1tmp[2:0]; three_bit_acc tda2(sum[8:6],c_out2,buf2tmp[2:0],q_c_out1,mhz50,reset); assign sum_t={sum[8:6],out[5:3],out[2:0]}; assign c_out=c_out2; endmodule module three_bit_acc(sum,c_out,a,c_in,mhz50,reset); input[2:0] A; output[2:0] sum; input reset,mhz50,c_in; wire sum0,c_out0,sum1,c_out1,sum2,c_out2; output c_out; assign sum={sum2,sum1,sum0}; assign c_out=c_out2; one_bit_acc oba0(sum0,c_out0,a[0],c_in,mhz50,reset); one_bit_acc oba1(sum1,c_out1,a[1],c_out0,mhz50,reset); one_bit_acc oba2(sum2,c_out2,a[2],c_out1,mhz50,reset); endmodule

21 module one_bit_acc(sum,c_out,x,c_in,clk,reset); output sum,c_out; input x,clk,reset,c_in; reg q; wire d; assign d=sum; assign sum=x^q^c_in; assign c_out=(x & q) ((x q) & c_in); clk or negedge reset) if(!reset) q=1'b0; q=d; endmodule module sdm; reg[8:0] A; reg reset,mhz50; wire[8:0] sum; wire c_out; initial begin // $timeformat (-9,1,"ns",15); $dumpfile ("sdm.vcd"); $dumpvars (2,sdm); reset = 0; mhz50 = 0; A = 9'b ; #100; reset = 1;

22 #10000 $dumpoff; end $finish; always begin #10 mhz50 = ~mhz50; end pipeline_sdm1 sdm1(sum,c_out,a,mhz50,reset); endmodule mhz50 system clock A = 256(100H) 9bits DPA c_out = ( )/(2^9) = 256/512 = 1/2 (Contribution) 1. sigma delta modulation 2. sigma delta modulation

23 3. Matlab,Simulink,System View 4. Verilog HDL Digital Sigma Delta Modulator (Conclusions) sigma-delta modulation,, sigma-delta ADC, Verilog HDL 2-bit MASH 9-bit first order pipeline DPA,, Simulink,System View tools, sigma-delta modulation,,, ;,! bye bye! (Reference) [1] 1962 IRE Transactions on Space Electronics and Telemetry A Telemetering System by code Modulation----Ä-Ó Modulation [2] 1983 IEEE Trans. Commun Design Methodology for ÓÄM [3] Communication Systems Haykin [4] Digital Signal Processing Mitra [5] Delta-Sigma Data Converter IEEE press [6] Oversampling Delta-Sigma Data Converters IEEE press [7] Analog Integrated Circuit Design David A. Johns & Ken Martin [8] ---- [9] 1999 IEEE Transactions on vehicular technology Design and Realization of a Digital Delta Sigma modularor for Fractional-n Frequency Synthesis [10]Katy Falakshahi, High-speed,High-Resolution D/A Conversion in CMOS,PhD defense slides,august [11] IEEE Trans. on circuits and systems-ii: Analog and Digital signal processing, VOL.47,NO. 11 November 2000 Efficient Modified-Sinc Filters for Sigma-Delta A/D Converters [12]Te chniques for High Data Rate Modulation and Low Power operation of Fractional-N Frequency Synthesizer by Michael Henderson Perrott [13]

24 Cascaded Integrator-Comb Filter sigma delta ADC, Quantization Noise, Desired Signal Oversampling, decimated output [13]:

25 Quantization Noise, Cascaded Integrator-Comb Filter, (multirate signal processing), [11] Decimation filter,, Stopband ( stopband, Decimation Aliasing effect)

幻灯片 1

幻灯片 1 Digital Signal Processing(DSP) : 203 : 0531-88364509 Email: jiangmingyan@sdu.edu.cn : ---- ---- JMY Copyright Reserved, SDU, 1 / 69 : (,, 2007 64 48 16 1 8 1. 2 2. 6 3. 6 4. 8 5., FFT 8 6. 6 7. 8 8. 4

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

D4

D4 020 0.18-m SiGe BiCMOS 5-GHz 5/60 GHz 0.18-m SiGe BiCMOS 5/60 GHz Dual- Conversion Receiver Using a Shared Switchable 5-GHz Double Balance Gilbert Mixer 1 1 1 2 1 2 0.18-m SiGe BiCMOS 5-GHz / (5/60 GHz)

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n 37 1 Vol 37 No 1 2013 1 Journal of Jiangxi Normal UniversityNatural Science Jan 2013 1000-5862201301-0037-05 MISO 郭荣新, 袁继昌 361021 2 RVQ 2 MISO 3 TN 911 7 A 0 MIMO 2 MISO 3 MIMOnetwork MIMO 3GPP LTE-A 2

More information

A5katalog_fina CNl.indd

A5katalog_fina CNl.indd System DIO 2000 工 业 测 量 系 统 的 完 整 解 决 方 案 STARMANS DIO 2000 软 件 DIO 2000 一 般 功 能 该 系 统 的 超 声 通 道 设 计 为 独 立 的 超 声 插 入 单 元 ( 模 块 ), 每 个 模 块 都 有 独 立 的 微 处 理 器 控 制 和 信 号 处 理 功 能 插 入 单 元 ( 尺 寸 为 100 x 160 毫

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of 26 2 2009 2 Control Theory & Applications Vol. 26 No. 2 Feb. 2009 : 1000 8152(2009)02 0203 06 Buck-Boost 1,2, 3, 2, 4, 1 (1., 411201; 2., 410083; 3., 411105; 4., 410004) :, Buck-Boost,.,,. :,,,, : Buck-Boost

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

untitled

untitled 1 1. 1 1. 1. 1 image I(x,y,z,t) object to image 1. 1. 2 1 X Rays, Gamma-Rays, X Rays CT 1. 1. 3 INPUT Processing OUTPUT 1. 1. 4 Anolog Image Processing 2 25 / 1. 1. 5 Digital Image Processing Digitizing,

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80 IEEE 802.11a s0323516@ncnu.edu.tw 1 (WLAN) [1] 1963 IEEE Institute Of Electrical and Electronics Engineers LAN MAN-Metropolitan Area Network IEEE 802 IEEE 802 Working Group 802.11 IEEE 802 802.1 LAN MAN

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

スライド 1

スライド 1 ALMA ( ALMA ALMA ALMA Antenna 64 elements 12m + ACA (4 elements 12m + 12 elements 7m) Receiver Frequency Band: Band 1~10(43 ~950 GHz) Correlator 1 antenna: 4Gsps 3bit 8IF = 96Gbps 80 antennas: Total Data

More information

Microsoft PowerPoint - ch2-stallings.ppt

Microsoft PowerPoint - ch2-stallings.ppt Transmission Fundamentals Chapter 2 (Stallings Book) 1 Electromagnetic Signal is a function of time can also be expressed as a function of frequency Signal consists of components of different frequencies

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

座艙通話記錄器聲音處理訓練課程.PDF

座艙通話記錄器聲音處理訓練課程.PDF ASC-TRT-00-10-001 0 56 (02) 2547-5200 175 (02) 2547-5200 1 2 3 4 5 / FDR CVR ASC ATSB NTSB TSB FDR CVR DAC NTSB TSB ATSB ASC DAC ASC-TRT-00-10-001 1 : : : : 1. 2. 3. 4. 5. 6. 7., : (1) (2) (3) (4) (5)

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

Microsoft PowerPoint - 6-B.ppt

Microsoft PowerPoint - 6-B.ppt A1 艾 群 教 授 提 供 投 影 片 1 A1 Aquarius, 2008/3/27 波 與 振 動 所 謂 振 動, 就 是 隨 著 時 間 而 進 行 的 擺 動 隨 著 時 間 進 行 且 穿 越 空 間 的 擺 動 就 叫 做 波 (wave) 波 不 會 固 定 在 一 個 地 點 上, 而 必 定 是 經 一 個 地 點 前 進 到 另 一 個 地 點 單 擺 的 振 動 伽 利

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

IEC 传输帧格式

IEC 传输帧格式 IEC 60870-5-1 GB GB/T XXXXX XXXX idt IEC 60870-5-1:1990 Telecontrol Equipment and Systems Part 5:Transmission Protocol Section 1: Transmission frame formats ( ) 1998.6.28 2000.2.10 2000.5.7 200x-xx-xx

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

新世紀領導人才培育營-初階研習營檢討會議程表

新世紀領導人才培育營-初階研習營檢討會議程表 出 國 報 告 ( 出 國 類 別 : 交 流 參 訪 ) 赴 大 陸 武 漢 大 學 交 流 參 訪 出 國 報 告 書 服 務 機 關 : 國 立 中 央 大 學 地 球 科 學 院 姓 名 職 稱 : 朱 延 祥 院 長 派 赴 國 家 : 中 國 大 陸 出 國 期 間 : 民 國 104 年 5 月 2 日 到 5 月 8 日 報 告 日 期 : 民 國 104 年 5 月 14 日 i

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

第三章思考题

第三章思考题 01. 0. 04. 05. 0. 07. 08. 09.. 11. 1. 13. -1 0 1 14. 15. 1. 17. 18. 0.. 3. 4. 5.. 7. 8. 9. 30. 31. 3.4(c 3.7(a 3.8 58 3. 33. 34. 3.9 35. 3. IRA IRA? 37. 38. 39. 40. 41. 4. 43. 44. 45. 47. 48. 49. B M 50.

More information

Microsoft Word - 封面.doc

Microsoft Word - 封面.doc 國立交通大學 電信工程學系 碩士論文 低功率三階連續時間三角積分調變器之設計與製作 The Design and Implementation of Low Power Third-Order Continuous-Time Sigma-Delta Modulator 研究生 : 林政翰 指導教授 : 洪崇智教授 中華民國九十五年十月 低功率三階連續時間三角積分調變器 之設計與製作 The Design

More information

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們 NT-503 USB DAC/Network Player 關 於 此 機 器 的 網 路 功 能, 請 看 網 路 說 明 書 使 用 者 說 明 書 與 網 路 說 明 書 可 以 從 TEAC Global Site (http://www.teac-global.com/) 下 載 USB D/A 轉 換 器 / 網 路 播 放 機 使 用 說 明 書 欲 播 放 USB 快 閃 記 憶 體

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

untitled

untitled 常 见 支 座 形 式 及 提 供 的 反 力 : 几 何 不 变 体 系 组 成 规 律 : (1) 用 既 不 平 行 又 不 相 交 于 一 点 的 三 连 杆 连 接 两 个 刚 体 (2) 用 一 连 杆 和 不 再 同 一 直 线 上 的 铰 连 接 两 个 刚 体 (3) 不 再 同 一 直 线 上 的 铰 连 接 三 个 刚 体 (4) 一 个 刚 体 加 两 相 交 的 连 杆 拱

More information

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK SK 9000 ... 2 SK 9000... 4... 4... 5 SK 9000... 7... 9 Command KA 9000 COM... 9 SK 9000... 10 / SK 9000... 10 / Autolock... 12... 13... 14 SK 9000... 17... 18... 19... 19... 20 SK 9000... 20 ZH RU PT NL

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

MHz 10 MHz Mbps 1 C 2(a) 4 GHz MHz 56 Msps 70 MHz 70 MHz 23 MHz 14 MHz 23 MHz 2(b)

MHz 10 MHz Mbps 1 C 2(a) 4 GHz MHz 56 Msps 70 MHz 70 MHz 23 MHz 14 MHz 23 MHz 2(b) 2011 32 ANNALS OF SHANGHAI OBSERVATORY ACADEMIA SINICA No. 32, 2011 1,2,3 1 2,3 2,3 2,3 2 1 1 ( 1. 200030 2. 100094 3. 100094 ) V474 1 (CEI) ( VLBI ), CEI 100 nrad ( 50 km) CEI 10 100 km 2 2 2 CEI [1]

More information

专科疾病诊治(十八)

专科疾病诊治(十八) ...1...2...5...12...19...22...24...27...31...33...36...42...48...52...56...62...72 I ...87...91...94... 105... 108... 117... 125... 132... 134... 145... 160... 162... 166... 170... 176... 179... 182 II

More information

<4D F736F F D20B1B1BEA9D5E6CAD3CDA8BFC6BCBCB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA3034D4C23136C8D5B1A8CBCDA3A92E646F63>

<4D F736F F D20B1B1BEA9D5E6CAD3CDA8BFC6BCBCB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA3034D4C23136C8D5B1A8CBCDA3A92E646F63> Beijing Transtrue Technology Inc. ( 北 京 市 丰 台 区 科 学 城 航 丰 路 9 号 10 层 1002 号 [ 园 区 ]) 首 次 公 开 发 行 股 票 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 北 京 市 东 城 区 建 国 门 内 大 街 28 号 民 生 金 融 中 心 A 座 16-18 层 ) 声 明 : 本 公 司 的 发

More information

WT210/230数字功率计简易操作手册

WT210/230数字功率计简易操作手册 T0/0 数 字 功 率 计 操 作 手 册 I 040-0 第 版 目 录 第 章 第 章 第 章 功 能 说 明 与 数 字 显 示. 系 统 构 成 和 结 构 图... -. 数 字 / 字 符 初 始 菜 单... -. 测 量 期 间 的 自 动 量 程 监 视 器 量 程 溢 出 和 错 误 提 示... - 开 始 操 作 之 前. 连 接 直 接 输 入 时 的 测 量 回 路...

More information

2

2 1 2 3 -1 : P in (db) LA = 10lg PL 4 -2 ( ω ) [ ( )] 2 P 1 L A ( ω ) = 10lg = 10lg 1+ ω 2 1 Γ Butterworth (Chebyshev) 5 , 6 20 db = 20log V transmitted V incident 7 Bandwidth Bandwidth Magnitude Constant

More information

Microsoft PowerPoint - STU_EC_Ch02.ppt

Microsoft PowerPoint - STU_EC_Ch02.ppt 樹德科技大學資訊工程系 Chapter 2: Number Systems Operations and Codes Shi-Huang Chen Sept. 2010 1 Chapter Outline 2.1 Decimal Numbers 2.2 Binary Numbers 2.3 Decimal-to-Binary Conversion 2.4 Binary Arithmetic 2.5

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Microsoft Word - P085003

Microsoft Word - P085003 1 編 P08500 文 85.0. 字 8501695 文 台 政 華 月 日 85 字 8501695 主 旨 圖 事 項 詳 圖 長 扁 2 書 台 書 壹 詳 圖 貳 令 依 據 台 條 條 詳 細 緣 起 速 推 展 落 私 投 資 事 業 依 台 並 考 慮 台 行 政 轄 展 時 先 後 衰 敗 程 研 針 對 萬 華 同 正 研 並 將 申 擬 自 受 述 行 政 限 併 檢 討 就

More information

History 97 97Universal Universal 98Universal 98Magnetek Magnetek ighting Group Universal Technologies ighting Energy Saving Incorporation

History 97 97Universal Universal 98Universal 98Magnetek Magnetek ighting Group Universal Technologies ighting Energy Saving Incorporation 00V EECTROIC BAAST History 97 97Universal Universal 98Universal 98Magnetek 997 00Magnetek ighting Group Universal Technologies ighting 999 00Energy Saving Incorporation P. Company Profile Universal ighting

More information

Microsoft Word - netcontr.doc

Microsoft Word - netcontr.doc * 一 种 基 于 模 型 的 输 出 反 馈 网 络 化 控 制 系 统 刘 松 晖, 吴 俊, 徐 巍 华, 陈 生 (. 工 业 控 制 技 术 国 家 重 点 实 验 室 浙 江 大 学 先 进 控 制 研 究 所, 浙 江 杭 州 3007;. 南 安 普 敦 大 学 电 子 与 计 算 机 学 院, 英 国 南 安 普 敦 SO7 BJ) E-mail: shliu@iipc.zju.edu.cn

More information

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP ... 1... 1... 1... 2... 2... 3... 3 Philips Respironics...3... 4 /...5... 6...6... 7... 8... 8...9... 9...10 Bluetooth...14...15...15...15...16...20...22...23...24...24...24...24...25...26...27

More information

CD DX Onkyo CD CD Cs

CD DX Onkyo CD CD Cs CD DX-7355... 2... 13... 15 Onkyo CD CD... 26 Cs 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. S3125A 13. 14. 15. A. B. C. D. E. F. 16. 17. 18. 20cm 8" 10cm 4" 10cm 4" Cs-2 1. 2. Onkyo 3. 4. AC230V 50Hz AC120V

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

IEC A( ) B C D II

IEC A( ) B C D II ICS 13.120 K 09 GB 4706.1 2005/IEC 60335-1:2004(Ed4.1) 1 Household and similar electrical appliances- Safety General requirements IEC60335-1 2004 Ed4.1,IDT 2005-08-26 2006-08-01 IEC 1 2 3 4 5 6 7 8 9 10

More information

幻灯片 1

幻灯片 1 Digital Signal Processing mailfzh@nwpu.edu.cn /gary/ 1. FT FT. 3. 4. DFT 5. 6. DFT 7. 1. FT FT (FS) (FT) ( ) xt () Dirichlet (, ), 1 T () = ( Ω), ( Ω ) = () T T jkωt jkωt xt X k e X k xte dt e jkω t k

More information

无线通讯实验室测试系统方案.doc

无线通讯实验室测试系统方案.doc 110 Wi-Fi3G 1 QPSK64QAM 2 3 4 3 1 2 3 1.1 I/Q OFDM MIMO : 1 WCDMACDMA2000TD-SCDMAWLAN 2 3 4 ; : 2.1 ; ; ; ; ; ; ; IQ ; ; 2.2 ; ; ; / ; ; ; / ; ; ; ; ; ; ; ; ; ; / ; ; ; ; ;VCO ; ; ; ; ; ; / Agilent 1.2

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Chap2.ppt

Chap2.ppt 2! PSTN Internet 2/ 73 ! 2/ 73 twisted pair! 8 UTP100 m Cat310 MbpsCat5100 Mbps 2/ 73 ! 2/ 73 ! 50Ω 50Ω 75Ω 75Ω 75Ω 2/ 73 ! 2/ 73 ! 2 km 10 km 2/ 73 ! 2/ 73 ! 2/ 73 ! ! f(hz) 10 0 10 2 10 4 10 6 10 8 10

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

1 1 1 1 2 3 3 3 4 4 5 5 5 6 6 7 7 9 9 9 10 11 11 11 1. 2. 3. 4. 1 2 11 12 12 12 12 13 13 13 15 15 16 16 17 18 18 18 19 19 19 20 20 20 21 22 22 22 23 23 1. 2. 23 25 27 27 28 28 28 29 29 29 30 31 31 31 32

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

WLAN 2

WLAN 2 1 WLAN 2 IEEE 802.11 HomeRF GSM/ GPRS CDMA 3 ( ) (Infrared) (Laser) (Microwave) (DSSS) (FHSS) (HomeRF) (Bluetooth) 4 ( ) IrDA (Direct-Beam IR, DB/ IR) (Diffuse IR, DF/ IR) (Ominidirectional IR, Omini/

More information

TURBO LDPC

TURBO LDPC --- 2 TURBO LDPC --- / / / (dbm) -20-40 -60-80 0-100 0 4 8 12 16 d 2 2 d>>dc dc --- f2-f1 >> Bc RAKE ARQ α 1 α 2 α 3 α M Selective Combining SNR Equal-Gain Combining maximal Ratio Combining SNR 10-1

More information

ADLINK Company Profile

ADLINK Company Profile 轻 松 构 建 精 准 高 效 的 电 子 产 品 功 能 测 试 解 决 方 案 王 小 龙 Leon Wang 业 务 拓 展 经 理 测 试 与 自 动 化 产 品 电 子 产 品 的 进 化 6 英 寸 超 大 2K 高 清 屏 HIFI 级 音 频 2100W 像 素 摄 像 头 七 模 十 九 频 全 网 通 多 点 全 功 能 触 控 重 力 感 应 / 红 外 距 离 感 应 / 陀

More information