FPGA 培训专家 FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n

Size: px
Start display at page:

Download "FPGA 培训专家 FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n"

Transcription

1 FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20ns*8=160ns, 高电平持续时间是 80ns, 低电平持续时间是 80ns, 因此可以用 50Mhz 作为计数器的触发时钟, 当从 0 计数到 3 时, 保持高电平即 20ns*4=80ns, 计数从 4 到 7 时是低电平即可实现 8 分频 注释 : 由于初学者对波形理解比较模糊, 建议大家先画出波形在进行代码编写, 这是很好的设计习惯 绘制波形如图 1 pi_clk div_cnt clk_c 图 1 分频器波形设计 当把分频器的波形图绘制出来之后, 是不是大家已经觉得分频器的代码实现已经很简单 了, 只需要实现一个 divider counter 和一个根据 divider counter 计数器数值产生的高低电 平信号即可 Verilog 代码实现 // 完整的代码 //frequence_divider_8.v module frequence_divider_8( input wire pi_clk, input wire rst_n, output wire po_clk_r, output wire po_clk_c ); reg [2:0] div_cnt; reg clk_r,clk_c; pi_clk or negedge rst_n) if(rst_n==1'b0) div_cnt<='d0; else div_cnt <= div_cnt + 1'b1; always@(div_cnt) if(div_cnt<3'd4) clk_c = 1'b1;

2 else clk_c=1'b0; pi_clk or negedge rst_n) if(rst_n==1'b0) clk_r<=1'b0; else if(div_cnt<3'd4) clk_r<=1'b1; else clk_r<=1'b0; assign po_clk_c = clk_c; assign po_clk_r = clk_r; endmodule 详细注释代码 module frequence_divider_8( input wire pi_clk, input wire rst_n, output wire po_clk_r, output wire po_clk_c ); reg [2:0] div_cnt;// 分频计数器 reg clk_r,clk_c;//clk_r 是综合为寄存器,clk_c 综合为组合逻辑 ;r 指的是 registe c 指的是 combination; pi_clk or negedge rst_n) if(rst_n==1'b0)// 这里是异步复位, 由于敏感列表里有 rst_n 的下降沿会触发复位, 此复位脉冲与 pi_clk 并不同步 div_cnt<='d0;// 这里使用 'd0 表示此数值的位宽自动等于 div_cnt 的位宽 else div_cnt <= div_cnt + 1'b1;// 这里因为只有 3 位所以计数到 7 后自动归零, 因为 3'b111+1'b1=4'b1000 那么又因为寄存器只有 3 位所以高位被丢弃, 只剩低三位即 3'b000; // 下面这个 always 块包含的是一个组合逻辑, 是由敏感列表里 div_cnt 的各个数据线的电平变化触发的, 电平触发的逻辑电路是立刻执行的 这里使用的是阻塞赋值即等号 (=), 阻塞的意思就是当触发条件满足的时刻等号右边的值如果没有计算完成或者叫未准备好, 是不会发生赋值操作的 映射到电路的理解就是当某信号满足触发条件开始计算, 计算的延迟是 T1 从计算电路到目标被赋值电路的路径延迟是 T2, 那么也就是说目标被赋值电路在满足条件的时刻到被赋值时刻需要经历 T1+T2 这么长时间, 在 T1+T2 这段时间内目标被赋值电路是被阻塞状态 由于此特性阻塞赋值基本都是用到组合逻辑中的 always@(div_cnt) if(div_cnt<3'd4) clk_c = 1'b1;

3 else clk_c=1'b0; // 下边的这个 always 块包含的是一个时序逻辑, 是由时钟 pi_clk 上升沿触发的 ; 这里用的是非阻塞赋值 (<=); 非阻塞赋值配合沿触发会被综合成 D 触发器 ( 针对 FPGA 的综合工具 ),D 触发器如图 2, 大家可以回去查阅, 当时钟沿到来时, 无论非阻塞赋值符号右边是否计算完成都会把此时刻的值打入到寄存器 D 端, 此时如果右端没有计算完成那么就称之为时序违例,D 端是 D 触发器的输入端,Q 端是 D 触发器的输出端 时序逻辑基本都是使用非阻塞赋值 pi_clk or negedge rst_n) if(rst_n==1'b0) clk_r<=1'b0; else if(div_cnt<3'd4) clk_r<=1'b1; else clk_r<=1'b0; assign po_clk_c = clk_c; assign po_clk_r = clk_r; endmodule pi_data D pi_clk Q D register 图 2 D 触发器表示图测试时钟和复位信号的产生完整代码 //tb_frequence_divider_8.v `timescale 1ns/1ns module tb_frequence_divider_8(); reg clk; reg rst_n; wire clk_r,clk_c; initial begin clk=0; rst_n=0; #100 rst_n=1; end

4 always #10 clk <= ~clk; frequence_divider_8 fd8_inst(.pi_clk(clk),.rst_n(rst_n),.po_clk_r(clk_r),.po_clk_c(clk_c) ); endmodule 详细注释的代码 //tb_frequence_divider_8.v `timescale 1ns/1ns module tb_frequence_divider_8(); reg reg wire initial begin clk=0; rst_n=0; clk; rst_n; clk_r,clk_c; #100 // 在 begin end 标签内是顺序执行语句, 仅仅用于仿真不可综合,# 是延迟信号的关 键字后边跟的数字是延迟时间, 单位是在 timescale 中定义 这里指延迟 100ns 后触发下一 条语句, 即延迟 100ns 后 rst_n 信号被拉高 end rst_n=1; always #10 clk <= ~clk; // 被测模块的例化 frequence_divider_8 fd8_inst(.pi_clk(clk),.rst_n(rst_n),.po_clk_r(clk_r),.po_clk_c(clk_c) ); endmodule Modelsim 功能仿真结果波形图在第二节中给大家详细的讲了最基本的通过创建 Project 来仿真功能模块 本节的讲义里就不在一一的截图 modelsim 操作流程, 在视频中可以看到我的详细操作流程 大家仔细看波形 clk_r 和 clk_c 为什么会相差一个时钟周期呢? 答案 :clk_r 是寄存器, 当满足条件时, 触发时钟把数据线上的数据打入到 D 端, 也就是当前满足条件后寄存器 D 端被写入 1'b1, 而此时刻 Q 端存储的上一拍的数据被打出也就是 1'b0 被打出, 在下一个时钟上升沿到来时,Q 端会把 1'b1 打出 因此会延迟 1 个 pi_clk 周期 这是 D 触发器特性 clk_c 由于是组合逻辑, 当满足条件时, 数据被赋值只跟路径延迟和组合逻辑处理延迟有关,

5 由于是功能仿真, 没有加入延迟文件, 所以组合逻辑产生的 clk_c 满足条件的时刻即被赋值 在实际电路中路径延迟和组合逻辑处理延迟是很大的 图 2 Modelsim 功能仿真波形 应用 Quartus II 软件进行电路综合布局布线生成带有延迟文件的后仿真文件 1. 在 design 和 sim 目录的同级目录建立一个文件夹命名为 Quartus_pro, 打开 quartus II 软件如下界面如图 3

6 图 3 Quartus II 打开界面 2. 新建 quartus 工程 选择 FILE->New Project Wizard, 如图 4 图 4 新建工程 工程设置介绍页选择 NEXT 即可 图 5 工程设置介绍页 工程名称, 路径, 顶层入口设置工程路径选择之前建的 quartus_pro 文件夹工程名称填写 frequence_divider_8 顶层入口填写 frequence_divider_8 注释 : 如果顶层入口与顶层模块的模块名不一致的话会出现编译错误 如果图 6

7 图 6 工程设置界面添加程序文件选择 design 目录下的 frequence_divider_8.v 选择完成后一定要记住点击 ADD 按钮把文件加入到工程 如图 7 图 7 程序文件添加 选择相应 FPGA 器件 至芯科技推出一款超级开发板, 此开发板价格极低 (100 元左右 ) 就是满足 初学者学习的需求, 而又不需要高额投资 这里选择此款开发板的目标器件, cyclone IV EP4CE6E22C8 封装选择 QFP Pin out 选择 144

8 Speed grade 选择 8 如图 8 图 8 器件的选择 这一步是设计工具设置, 此步骤完全默认选择 Finish 即可如图 9 图 9 工具设置界面 工程设置完成界面, 左侧有两个子窗口一个是 project Navigator 和 task, 其中 project navigator 会把工程内的所有程序模块列出来, 本程序只有一个模块 Task 窗口有 Compile Design 选项, 此选项是编译工程选项, 子选项 Analysis & Synthesis 综合和语法分析, 对模块进行语法分析然

9 后综合处 RTL 电路 Fitter (Place & Route) 是布局布线, 把 RTL 电路布局到实际的门电路和寄存器中, 实现 FPGA 器件的映射 Assembler (Generate programming files) 这个选项可以产生符合 altera 标准的相应 fpga 编程程序 TimeQuest Timing Analysis 这个选项会生成时序分析相应的报表 EDA Netlist Writer 此选项可以生成网表文件注释 : 如果没有此两个窗口可以点击 view->utility windows-> 然后选择 project Navigator 和 task 即可调出此窗口 如图 9 图 9 工程界面设置生成 modelsim 后仿真的网表文件 1. 点击 assignments 菜单 ->setting->simulation 2. Tool name 选择 modelsim 3. Format for output netlist 选择 Verilog HDL Time scale 选择 1ps 4. 其他默认选择 OK 如图 10 设置仿真网表文件

10 生成网表 图 10 设置仿真网表文件 双击任务栏的 EDA Netlist Writer, 此时这里会显示编译进度 图 11 启动编译过程 编译完成后任务栏状态如图 12

11 图 12 状态栏 将网表文件和延时文件拷贝到 SIM 目录打开 your path/quartus_pro/simulation/modelsim 找到 frequence_divider_8.vo 文件, 网表文件和 frequence_divider_8_v.sdo 文件, 延时文件复制这两个文件到 your path/sim/ 目录 应用 modelsim 和上一步产生的网表和延时文件进行后仿真 1. 在 sim 目录内建立一个新的文件夹命名为 altera_lib 2. 打开 quartus II 安装工具的安装目录, 进入如下目录 your path\quartus II 11.1\quartus\eda\sim_lib 在此目录中找到如下三个文件 altera_mf.v altera_primitives.v cycloneive_atoms.v 并把他们复制到刚刚创建的 altera_lib 目录中 3. 打开 modelsim 在 sim 目录创建一个工程, 命名不要和之前的功能仿真名称重复 创建工程方法在第 2 讲中有详细讲解, 这里就不在赘述, 不懂得请参看视频 4. 把如下文件加入到工程中 Sim/Altera_lib 目录下的 : altera_mf.v altera_primitives.v cycloneive_atoms.v sim 目录下的 : frequence_divider_8.vo// 此文件就是网表文件 tb_frequence_divider_8.v 如图 13 图 13 添加的编译文件列表 5. 编译以上列表文件编译后如下图 14 选择 compile->compile all

12 图 14 编译完成后 6. 设置延时文件 第一步点击 simulate->start simulation 如图 15 图 15 开始仿真设置选项 进入仿真选项列表, 选择 SDF 选项卡如图 16 点击 add, 选中 frequence_divider_8_v.sdo// 延时文件并把下边的 Disable SDF WARNINGS 和 Reduc SDF errors to warning, 选项选中然后切换到 Design 选项卡 图 16 添加延时文件 在 design 选项卡中展开 work 库的目录找到 tb_frequence_divider_8 模块, 并选中

13 tb_frequence_divider_8, 这时候在 design unit 编辑窗会显示 work.tb_frequence_divider_8, 之后点击 OK 启动仿真如图 17 图 17 启动仿真界面 7. 后仿真波形输出在主窗口中打开 sim 选项卡, 选中 tb_frequence_divider_8 并把所有波形加入到 wave 窗口, 右键点击这个模块的名称并选中 Add to->wave->all items in region 此时可以在波形窗口会有如下波形如图 18, 会发现模块中的变量名字已经变了, 这就是综合后的名字, 有些名字已经被优化了, 或者消失了 把所有想看的信号加入到 wave 窗口后, 可以再 Transcript 窗口输入如下命令,run 500ns 此时观察 wave 窗口是不是已经有波形出现了 从波形图中可以发现 po_clk_c 与 pi_clk 上升沿有了 6.426ns( 两个黄色时标之间的延迟 ) 的延迟, 这个延迟在功能仿真时是 0 Po_clk_r 与 pi_clk 的上升沿有 6.559ns 的延迟 ( 红色时标之间的延迟 ), 这个延迟在功能仿真是 0 但是在后仿真时就把所有实际器件特性和走线延迟特性加入到仿真延时文件中 图 18 最终后仿真波形 这次的课程到这里就结束了, 大家有什么问题请到至芯科技论坛提交问题, 哪里会有专门的老师给大家回答问题

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

FPGA 培训专家 FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板

FPGA 培训专家   FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板 FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板, 还有另外一种方式可以得到 ZX-2 开发板, 去论坛申请免费评测开发板 淘宝地址 : http://item.taobao.com/item.htm?spm=a1z10.1.w4004-6568874930.3.kdvq2a&id=382264

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

STEP-MAX10 V2软件手册

STEP-MAX10 V2软件手册 小脚丫 STEP FPGA STEP 2016/12/7 目录 1. 概述... 2 2. 软件安装... 2 2.1 Quartus Prime 软件下载 :... 2 2.2 Quartus 安装步骤 :... 3 3. 创建第一个工程... 8 3.1 新建工程... 8 3.2 添加设计文件... 12 3.3 管脚约束... 14 3.4 FPGA 加载... 17 4. 仿真工具 Modelsim...

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

(i) (ii) (iii) (iv) 380,000 [ ] , , % % % 5.5% 6.5%

(i) (ii) (iii) (iv) 380,000 [ ] , , % % % 5.5% 6.5% [] [] [] [] [] [] [] 1961 40 2,000 1990 [] (i) (ii) 38 (i) (ii) (iii) (iv) 380,000 [ ] 201017,763 201422,457 20152020 7.1% 2010 2020 2010 2015 6.2% 20152020 2010 2015 20152020 7.1% 5.5% 6.5% 2010 2011

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

姓名

姓名 红外线遥控系统 设计 至芯科技教研部 李昭 2017-6-20 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

Microsoft PowerPoint - IC-3-4-verilog-synthesis

Microsoft PowerPoint - IC-3-4-verilog-synthesis 第四讲 : 硬件描述语言与集成电路设计 ( 续 ) 2005 年 4 月 10 日 Verilog 常见错误分析 Verilog 对逻辑硬件进行建模和模拟的同时, 必须理解代码与硬件实现的联系 如何为综合工具书写 Verilog 代码? 针对综合的模块划分规则与技巧 针对综合的代码编写规则与技巧 Verilog 常见错误分析 Verilog 对逻辑硬件进行建模和模拟的同时, 必须理解代码与硬件实现的联系

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

Vivado 设计流程手册 Vivado 设计流程指导手册 Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式, 一般简单设计中, 我们常用的是 Project Mode 在本手册中, 我们将以一个简单的实验案例, 一步一步的完成 Viva

Vivado 设计流程手册 Vivado 设计流程指导手册 Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式, 一般简单设计中, 我们常用的是 Project Mode 在本手册中, 我们将以一个简单的实验案例, 一步一步的完成 Viva Vivado 设计流程指导手册 2013.4 Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式, 一般简单设计中, 我们常用的是 Project Mode 在本手册中, 我们将以一个简单的实验案例, 一步一步的完成 Vivado 的整个设计流程 一 新建工程 1 打开 Vivado 2013.4 开发工具, 可通过桌面快捷方式或开始菜单中 Xilinx

More information

银河银联系列证券投资基金

银河银联系列证券投资基金 2-1 ...3...7...8...9...15...23...25...26...27...28...35...35...38...39...39...40...45...45...46...48...50...52...53...56...58...59...59...60...61...61 2-2 1998 12 29 2004 6 8 2004 7 1 2004 6 29 2004 7

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

Modelsim中文教程

Modelsim中文教程 ModelSim SOC 2004.8 1 ModelSim Model Verilog VHDL OEM Verilog VHDL ModelSim ModelSim/VHDL ModelSim/Verilog OEM ModelSim/LNL Verilog VHDL ModelSim/PLUS Verilog VHDL ModelSim/SE PLUS 3 Debussy Verilog\VHDL

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 7 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 2017 年春 6 Verilog 硬件描述语言 6.1 硬件描述语言简介 6.2 Verilog HDL 与 C 语言 6.3 Verilog 的数据类型 6.4 Verilog 运算符及优先级 6.5 Verilog 模块的结构 6.6 Verilog 设计的层次与风格 6.7 Verilog 行为语句

More information

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT 作 者 : 楊 哲 彰 (2005-02-23); 推 薦 : 徐 業 良 (2005-02-28) 附 註 : 本 文 為 元 智 大 學 機 械 系 自 動 化 機 械 設 計 課 程 教 材 Protel 電 腦 輔 助 電 路 設 計 軟 體 入 門 (2) PCB 製 作 與 輸 出 在 先 前 的 文 件 中, 介 紹 了 Protel 99 SE 的 基 本 操 作, 並 且 以 穩

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus

2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus 2 Quartus 2.1 2.2 Quartus 2.3 Quartus 2.4 2.5 2.6 2.7 2.8 2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus 2.1 Quartus 2.1.1 Quartus 2.2 Quartus Text Editor Block&Symbol Editor

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

幻灯片 1

幻灯片 1 Verilog 红宝书 _ 基本语法 _ 下 阿东 恒创科技 简介 大家可以叫我阿东, 我在通信行业做了 6 年的芯片设计, 做了几款大型路由器和交换机芯片, 写了 6 年的 Verilog, 对 Verilog 是熟悉的不能再熟悉了, 对数据通信 QOS 有深入研究和实现, 精通数据通信各种协议, 对通信网络有较深理解 精通 ASIC FPGA 和 Verilog 架构 方案 实现设计 希望我的经历能让大家掌握项目开发的编码规范和方案设计,

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

PowerPoint Presentation

PowerPoint Presentation Verilog HDL 的基本知识 周立功 Actel 产品线 作者简介 20 世纪 60 年代毕业于清华大学自控系计算与技术专业 北京航空航天大学教授, 主要的研究领域为嵌入式数字系统的设计 夏宇闻教授 1995 年开始筹建我国首个 EDA 实验室, 在其后十几年间为航天部设计多个复杂数字电路 2006 年至今受聘于神州龙芯集成电路设计公司担任技术顾问 概述 数字通信和自动化控制等领域的高速度发展和世界范围的高技术竞争对数字系统提出了越来越高的要求,

More information

PowerPoint Presentation

PowerPoint Presentation 第三章 Verilog HDL 语句与语法 3.1 Verilog 模块结构 Verilog 基本设计单元是 模块 (module) 一个模块是由两部分组成, 一部分描述接口, 另一部分描述功能 端口定义 : 内部信号说明 : 功能定义 : 各种语句完成逻辑功能 always 通常时序 assign 通常组合逻辑 全加器 module adder(cout,sum,a,b,cin);// 端口定义

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 单总线温度传感器驱动 王安然 STEP FPGA DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动 DS18B20Z 配置 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 : DS18B20Z 指令 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

姓名

姓名 flash 控制 设计 至芯科技教研部 李昭 2017-7-10 联系 QQ:984530288 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

Microsoft Word - 功医检测问&答

Microsoft Word - 功医检测问&答 功 能 医 学 检 测 问 答 1 功 能 性 医 学 的 要 义 1 个 体 差 异 因 每 个 人 的 基 因 生 活 习 惯 先 天 体 质 饮 食 习 惯 生 活 环 境 等 等 都 与 别 人 不 同, 那 么 身 体 的 衰 老 的 速 度 程 度 及 所 发 生 的 疾 病 也 是 与 别 人 是 不 同 的, 功 医 是 依 个 人 体 质 状 况 量 身 制 定, 分 析 个 人

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

扬州大学(上)

扬州大学(上) ...1...1...10...21 2004...28 2003...30...32...33...35...36...37...38...38...39...39...39...40...40...41 I ...42...42...43...44...45...47...48...49...50...50...51...51...52...52...53...62...67...75...83...90...95

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

新时期共青团工作实务全书(三十九)

新时期共青团工作实务全书(三十九) ........................... I II....................... 1990... .................. 1991......... III ,, 3 3 6 30 1990 19 19 ,, 30%, 1986 12 19 1989 12 25 1990 11 10 1989 10 30 1990 830 19 40

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

影視後製全攻略 Premiere Pro After Effects Encore 自序 Adobe Premiere Pro After Effects Encore 2008 Adobe CS Adobe CS5 Adobe CS4 Premiere Pro After Effect

影視後製全攻略 Premiere Pro After Effects Encore 自序 Adobe Premiere Pro After Effects Encore 2008 Adobe CS Adobe CS5 Adobe CS4 Premiere Pro After Effect 自序 Adobe Premiere Pro After Effects Encore 2008 Adobe CS3 2010 Adobe CS5 Adobe CS4 Premiere Pro After Effects Encore 18 ii Tony Cathy 2010/8 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 iii Premiere

More information

20 , ? , : : ( ) ( ) : : : : :? : : ?? : ( ) OK : ( ) ( ) ( )

More information

Microsoft PowerPoint - 1.modelsim.ppt

Microsoft PowerPoint - 1.modelsim.ppt 主要内容 Modelsim 介绍与应用 -- 基于 Altera 的 FPGA 与 Verilog 语言 一 Modelsim 简介 二 Testbench 的编写 三 后仿真实例讲解 四 Modelsim 高级应用 一 Modelsim 简介 1. Modelsim 窗口简介 2. Modelsim 菜单简介 3. Modelsim 功能仿真 4. Modelsim 的特点 Modelsim 版本

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

第 三 章 流 程 实 践 案 例 手 把 手 第 一 个 工 程 理 清 了 一 些 基 本 概 念, 也 对 开 发 流 程 有 了 一 个 大 体 的 了 解, 特 权 同 学 寻 思 着 下 一 步 该 学 点 什 么 好 呢? 要 达 到 循 序 渐 进 的 效 果, 是 不 可 以 上

第 三 章 流 程 实 践 案 例 手 把 手 第 一 个 工 程 理 清 了 一 些 基 本 概 念, 也 对 开 发 流 程 有 了 一 个 大 体 的 了 解, 特 权 同 学 寻 思 着 下 一 步 该 学 点 什 么 好 呢? 要 达 到 循 序 渐 进 的 效 果, 是 不 可 以 上 特 权 和 你 一 起 学 NIOS2 欢 迎 加 入 EDN FPGA/CPLD 助 学 小 组 共 同 交 流 学 习 :http://group.ednchina.com/1375/ 本 教 程 仅 适 用 于 特 权 制 造 的 SF-NIOS2 FPGA 开 发 板, 转 载 请 注 明 出 处! 版 本 信 息 时 间 说 明 备 注 2010-9-3 创 建 V1.0 1 第 三 章

More information

南華大學數位論文

南華大學數位論文 南 華 大 學 哲 學 與 生 命 教 育 學 系 碩 士 論 文 呂 氏 春 秋 音 樂 思 想 研 究 研 究 生 : 何 貞 宜 指 導 教 授 : 陳 章 錫 博 士 中 華 民 國 一 百 零 一 年 六 月 六 日 誌 謝 論 文 得 以 完 成, 最 重 要 的, 是 要 感 謝 我 的 指 導 教 授 陳 章 錫 博 士, 老 師 總 是 不 辭 辛 勞 仔 細 閱 讀 我 的 拙

More information

Microsoft Word - 3.3.1 - 一年級散文教案.doc

Microsoft Word - 3.3.1 - 一年級散文教案.doc 光 明 英 來 學 校 ( 中 國 文 學 之 旅 --- 散 文 小 說 教 學 ) 一 年 級 : 成 語 ( 主 題 : 勤 學 ) 節 數 : 六 教 節 ( 每 課 題 一 教 節 ) 課 題 : 守 株 待 兔 半 途 而 廢 愚 公 移 山 鐵 杵 磨 針 孟 母 三 遷 教 學 目 的 : 1. 透 過 活 動, 學 生 能 說 出 成 語 背 後 的 含 意 2. 學 生 能 指

More information

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等 1 12,403 2,892 264,553 19,517 238,008 10,132 989 36 9,869 2,218 250 122 ( 126 108 1,563 278 159 260 478 35,563 1,073 74 190,283 104,352 140,658 20,349 16,733 21,607 (21,607) 58,689 303,699 339,262 339,262

More information

Microsoft Word - 發布版---規範_全文_.doc

Microsoft Word - 發布版---規範_全文_.doc 建 築 物 無 障 礙 設 施 設 計 規 範 內 政 部 97 年 4 年 10 日 台 內 營 字 第 0970802190 號 令 訂 定, 自 97 年 7 月 1 日 生 效 內 政 部 97 年 12 年 19 日 台 內 營 字 第 0970809360 號 令 修 正 內 政 部 101 年 11 年 16 日 台 內 營 字 第 1010810415 號 令 修 正 目 錄 第 一

More information

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 I 概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 生 和 专 业 结 构 改 进 人 才 培 养 模 式 及 时 回 应 社 会 关 切 的 一 项

More information

鱼类丰产养殖技术(二).doc

鱼类丰产养殖技术(二).doc ...1...1...4...15...18...19...24...26...31...35...39...48...57...60...62...66...68...72 I ...73...88...91...92... 100... 104... 144... 146... 146... 147... 148... 148... 148... 149... 149... 150... 151...

More information

疾病诊治实务(一)

疾病诊治实务(一) ...1...4...5...8...13...14...15...18...18...19...22...25...26...27...29...30...32...35 I ...38...42...43...45...48...51...53...56...59...60...60...61...63...65...67...69...72...74...77...80...82...84 II

More information

名人养生.doc

名人养生.doc I...1...3...4...6... 11...14...18...22...26...29...31...38...45...49...56...57...59...61...67 ...72...73...75...77...80...83...85...91...92...93...95...96...97... 103... 107... 109... 110... 112... 118...

More information

<4D6963726F736F667420576F7264202D2040B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8735FA7F5ABD8BFB3B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8732E646F63>

<4D6963726F736F667420576F7264202D2040B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8735FA7F5ABD8BFB3B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8732E646F63> 嘉 義 地 區 客 家 禮 俗 研 究 第 一 章 前 言 嘉 義 地 區 的 客 家 族 群 約 略 可 分 為 福 佬 客 詔 安 客 與 北 部 客 等 三 種 類 別, 其 分 佈 區 域 以 海 線 地 區 平 原 地 形 沿 山 地 區 為 主 有 相 當 多 的 北 部 客 家 人, 是 二 次 大 戰 末 期 和 戰 後 初 期 才 移 民 嘉 義, 是 什 麼 因 素 令 許 多

More information

05301930

05301930 國 立 中 正 大 學 法 學 系 碩 士 論 文 河 川 砂 石 法 規 範 之 探 討 - 以 採 取 土 石 及 挖 掘 河 川 認 定 基 準 為 主 指 導 教 授 : 盧 映 潔 博 士 研 究 生 : 王 瑞 德 中 華 民 國 一 百 零 一 年 五 月 目 錄 第 一 章 緒 論... 1 第 一 節 研 究 動 機... 1 第 二 節 研 究 目 的... 3 第 三 節 研

More information

中老年保健必读(十).doc

中老年保健必读(十).doc ...1...2...3...4...5...6...8...9... 11 - -...13...15...17...18...20...22...23...25...26...28 I II...30...32...34...35...38...40...42...44...46...47...48...50...52...53 X...55...56...57...58...60...61...63...65

More information

23 29 15.6% 23 29 26.2% 3 25 2 15 1 5 1,542 12,336 14,53 16,165 18,934 22,698 25,125 25 2 15 1 5 5,557 7,48 8,877 11, 13,732 17,283 22,485 23 24 25 26

23 29 15.6% 23 29 26.2% 3 25 2 15 1 5 1,542 12,336 14,53 16,165 18,934 22,698 25,125 25 2 15 1 5 5,557 7,48 8,877 11, 13,732 17,283 22,485 23 24 25 26 4, 197823 2916.3%29 335, 23 29.5% 23 29 16.3% 14 35 33,535 14 135 13 125 1,292 1,3 1,38 1,314 1,321 1,328 1,335 3 25 2 15 1 5 1. 1.1 13,582 15,988 1.4 18,322 11.6 11.9 21,192 24,953 3,67 9. 8.7 12 1 8

More information

海淀区、房山区(四)

海淀区、房山区(四) ...1...1...2...7...8...9... 11... 15... 17... 17... 18... 19... 20... 21... 23... 25... 28... 31... 32 I ... 35... 36... 37... 39... 42... 43... 48... 53... 54... 58... 63... 64... 65... 66... 68... 71...

More information

穨ecr1_c.PDF

穨ecr1_c.PDF i ii iii iv 1 2 3 4 5 5555522 6664422 77722 6 7 8 9 10 11 22266 12833 1894 12 13 14 15 16 17 18 19 20 21 22 23 24 25 8.14 2.15 2.18 26 27 28 29 30 31 2.16 2.18 5.23 32 33 34 35 36 37 38 39 40 41 42 43

More information

穨2005_-c.PDF

穨2005_-c.PDF 2005 10 1 1 1 2 2 3 5 4 6 2 7 3 11 4 1 13 2 13 3 14 4 14 5 15 6 16 7 16 8 17 9 18 10 18 2005 10 1 1. 1.1 2 1.2 / / 1.3 69(2) 70(2) 1.4 1.5 1.6 2005 10 1 2. 2.1 2.2 485 20(8) (a) (i) (ii) (iii) (iv) 571

More information

北京理工大学.doc

北京理工大学.doc ( )...1...6...8...10...20...22...24...28...30...32...40 I ...53...55...61 ( )...62...71...74 ( )...77...81...84...86...88...89...91...92...96...99... 110...111... 112 II ... 113... 114... 115... 116...

More information

尲㐵.⸮⸮⸮⸮⸮

尲㐵.⸮⸮⸮⸮⸮ I...1...2...3...4...5...6...8...9...10... 11...12...13...14...15...16...17...18...19...20...21...22...23...24...26 II...27...28...28...29...30...31...32...34...35...36...37...38...39...39...40...41...43...43...44...45...46...47...48...48...49...50

More information

东城区(下)

东城区(下) ...1...1...2...3...9...9... 12... 12... 17... 17... 18... 19... 20... 29... 31... 37... 41... 70... 73 I ... 74... 78... 78... 79... 80... 85... 86... 88... 90... 90... 90... 92... 93... 95... 95... 96...

More information

果树高产栽培技术(一).doc

果树高产栽培技术(一).doc ( ) ...1...1...3...10... 11...12...15...17...18...19...20...22...23...24...26...27...28...30...31...32 I ...36...38...40...41...42...44...45...47...48...49...50...51...52...53...55...58...59...60...61...62...66...67

More information

物质结构_二_.doc

物质结构_二_.doc I...1...3...6...8 --... 11 --...12 --...13 --...15 --...16 --...18 --...19 --...20 --...22 --...24 --...25 --...26 --...28 --...30 --...32 --...34 --...35 --...37 --...38...40 II...41...44...46...47...48...49...51...52...55...58

More information

第一節 研究動機與目的

第一節 研究動機與目的 中 國 文 化 大 學 中 國 文 學 研 究 所 碩 士 論 文 華 嚴 一 真 法 界 思 想 研 究 指 導 教 授 : 王 俊 彥 研 究 生 : 許 瑞 菁 中 華 民 國 98 年 12 月 自 序 在 佛 教 經 典 中 最 初 接 觸 的 是 佛 說 無 量 壽 經, 此 經 乃 大 方 廣 佛 華 嚴 經 的 精 華 版 綱 要 版 為 了 瞭 解 經 義, 深 知 宇 宙 運

More information

水力发电(九)

水力发电(九) ...1...17...20...26...27...30...33...34...36...37...44...47...49...58...77...79...90...96...107 I ...114...115...132...134...137...138...139...140...142...142...144...146...146...146...148...148...149...149...150...151...151...152

More information

中国古代文学家(八).doc

中国古代文学家(八).doc ...1...5...26...27...43...44...48...50...52...54...55...57...60...61...62...63...65...67...68 I ...69...70...71...75...77...78...82...84...95...98...99... 101... 103... 107... 108... 109... 110...111...

More information

景观植物(一)

景观植物(一) ...1...5...6...8... 11...13...15...18...21...23...26...29...43...51 5...53...58...62...63...65 I ...67...70...72...74...76...77...78...80...81...84...85...87...88...90...92...94...97... 109... 113... 115...

More information

Microsoft Word - 目录.doc

Microsoft Word - 目录.doc 教 学 管 理 文 件 汇 编 目 录 教 育 法 规 和 指 导 性 文 件 1. 中 华 人 民 共 和 国 高 等 教 育 法 1 2. 中 华 人 民 共 和 国 教 师 法 8 3. 普 通 高 等 学 校 学 生 管 理 规 定 12 4. 高 等 学 校 学 生 行 为 准 则 18 5. 中 华 人 民 共 和 国 学 位 条 例 19 6. 高 等 学 校 教 学 管 理 要 点

More information

园林植物卷(三).doc

园林植物卷(三).doc I II III IV 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 84k 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65

More information

厨房小知识_一_

厨房小知识_一_ ... 1... 1... 2... 3... 3... 5... 6... 7... 7... 8... 10...11... 12... 13... 15... 17... 18... 19... 19... 20... 23... 24... 24 ... 26... 26... 29... 30... 31... 32... 33... 34... 37... 38... 40... 41...

More information