图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

Size: px
Start display at page:

Download "图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键"

Transcription

1 官 方 淘 宝 地 址 : MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 技 术 支 持 QQ 群 : China AET 讨 论 组 笔 者 博 客 : MeTech 版 权 所 有 1

2 图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 SD 卡, 支 持 SPI 模 式 DS1302 实 时 时 钟 AT24C64 IIC 芯 片 2 排 扩 展 IO 8 位 LED 小 灯 MeTech 版 权 所 有 2

3 8 位 数 码 管 LCD12864 & LCD1602 接 口 串 行 12bit ADC 接 口 PS2 键 盘 接 口 -- 扩 展 TFT2.8 寸 液 晶 板 发 货 清 单 : (1) 基 本 配 置 280 元 >> 电 源 适 配 器 一 个 (5V) >> FPGA 开 发 板 一 块 >> 串 口 通 信 线 一 条 >> 开 发 板 配 套 光 盘 2 张 >> 1602 液 晶 模 块 1 个 (2) 选 购 模 块 >> LCD12864 液 晶 模 块 ( 选 购, 须 另 加 50 元 ) >> TFT 2.8 寸 液 晶 ( 选 购, 须 另 加 80 元 ) >> RF 射 频 模 块 ( 选 购, 须 另 加 50 元 ) >> JTAG 调 试 器 ( 选 购, 须 另 加 80 元 ) MeTech 版 权 所 有 3

4 目 录 第 一 章 : Type FPGA 简 介 Type FPGA 开 发 说 明 Type FPGA 资 源 简 介 产 品 图 片 展 示 FPGA 开 发 板 使 用 简 介...7 第 二 章 :Quartus II 操 作 入 门 指 导 新 建 工 程 编 译 以 及 锁 定 引 脚 下 载 以 及 固 化 AS 模 式 固 化 生 成 JIC 文 件...25 第 三 章 : Modelsim 基 本 应 用 新 建 工 程 编 译 仿 真...34 第 四 章 联 系 我 们...37 MeTech 版 权 所 有 4

5 1.1 Type FPGA 开 发 说 明 第 一 章 : Type FPGA 简 介 FPGA 与 EDA 技 术 是 目 前 相 当 热 门 的 技 术, 翻 遍 各 大 招 聘 网 站 电 子 类 招 聘 信 息, 类 似 精 通 FPGA 技 术, 熟 悉 VerilogVHDL 语 言 等 字 眼 已 经 为 应 聘 者 所 熟 悉 ; 甚 至, 有 的 时 候, 熟 悉 FPGA 就 意 味 着 高 薪! 实 际 上,FPGA 技 术 已 经 成 为 目 前 电 子 行 业 应 用 最 为 广 泛 的 技 术 之 一, 未 来 的 就 业 和 发 展 前 景 相 当 好! 目 前 有 众 多 朋 友 热 切 的 期 望 能 学 习 FPGA 知 识,FPGA 技 术 实 践 性 极 强, 拥 有 一 块 适 合 学 习 需 求 的 FPGA 开 发 板 是 非 常 有 必 要 的! ME Tech TypeFPGA 开 发 板 为 此 推 出 一 套 适 合 大 众 化 的 一 款 高 性 价 比 FPGA 学 习 板, 很 多 同 学 或 则 初 学 者, 在 接 触 FPGA 的 时 候, 也 许 是 收 到 ARM 的 影 响, 认 为 操 作 系 统 很 高 端, 熟 悉 操 作 系 统 就 成 为 了 学 习 各 类 嵌 入 式 开 发 的 终 极 目 标, 但 是 这 里 大 家 需 要 纠 正 这 样 的 一 个 错 误 认 识, 其 实 FPGA 主 要 擅 长 的 不 是 做 哪 些, 如 果 大 家 是 为 了 跑 操 作 系 统, 那 么 我 建 议 大 家 还 是 学 习 ARM 系 列, 因 为 ARM 主 要 是 跑 操 作 系 统, 图 形 界 面, 都 很 擅 长, 有 TFT,SPI,USB 等 等 硬 件 设 备, 现 在 很 多 CortexMX 系 列 的 CPU 也 集 成 了 很 多 外 设, 主 频 都 能 达 到 一 百 多 兆 但 是 我 们 不 能 说 不 对 FPGA 的 才 做 系 统 进 行 了 解, 只 是 我 们 的 大 部 分 精 力 应 该 放 在 驱 动 级 上 面, 对 于 典 型 的 FPGA 系 统 NIOS, 我 们 熟 悉 就 好, 因 为 有 时 候 NIOS 可 以 帮 助 我 们 简 化 开 发, 如 果 大 家 一 味 的 在 FPGA 上 跑 NIOS, 然 后 做 C 语 言 开 发, 这 样 就 失 去 了 学 FPGA 的 初 衷, 因 此 大 家 选 择 FPGA 就 应 该 首 先 知 道 它 是 做 什 么 用, 在 今 后 的 研 发 经 历 中, 对 于 产 品 的 选 型 定 位, 也 会 有 很 大 的 帮 助, 比 如 我 们 跑 一 个 wince 的 界 面, 也 去 用 FPGA, 做 图 形 界 面 去 用 DSP, 这 样 我 们 就 会 走 很 多 弯 路, 因 此 我 们 学 什 么, 学 来 做 什 么 用, 这 些 概 念 的 建 立, 对 于 我 们 今 后 的 发 展 也 是 很 有 帮 助 的 对 于 FPGA 典 型 应 用 有 信 号 的 编 解 码 一 些 校 验 算 法 ( 比 如 CRC FEC 等 等 ) 数 据 采 集 ( 图 像 采 集 ADC,DAC 数 据 采 集 ) 等 等 ME Tech Type 开 发 板 采 用 CycloneII 系 列 处 理 器, 其 内 部 逻 辑 资 源 为 4608 个 LE, 资 源 已 经 非 常 丰 富, 如 果 大 家 能 把 这 么 多 的 LE 全 部 用 完 的 话, 那 么 您 已 经 成 为 FPGA 业 内 水 平 很 不 错 的 研 发 人 员 了 同 时 我 们 也 会 相 继 推 出 更 为 高 端 的 altera 和 xinlinx 系 列 FPGA, 供 大 家 学 习, 研 究 目 前 我 们 还 有 一 款 EP2C20 系 列 FPGA, 为 BGA484 封 装, 其 采 用 核 心 板 和 底 板 模 式, 为 方 便 我 们 开 发 总 之,ME Tech FPGA 开 发 板 是 完 全 站 在 用 户 的 角 度 精 心 设 计 开 发, 简 约 不 简 单! 同 时,ME Tech FPGA 开 发 板 的 配 套 光 盘 提 供 相 当 丰 富 的 实 验 代 码 及 各 种 参 考 文 档 ME Tech FPGA 开 发 板 用 户 群 体 面 向 广 大 的 高 校 相 关 专 业 学 生 电 子 爱 好 者 科 研 单 位 企 事 业 单 位 的 开 发 设 计 人 员, 适 合 于 产 品 原 型 的 快 速 开 发 学 生 参 加 各 种 电 子 设 计 大 赛 学 习 FPGA 技 术 入 门, 课 程 设 计 以 及 毕 业 设 计 等, 亦 可 用 于 系 统 设 计 前 期 快 速 评 估 设 计 方 案 特 别 适 合 FPGA NIOSII SOPC 快 速 入 门 和 产 品 开 发 及 验 证 1.2 Type FPGA 资 源 简 介 (1) 板 载 JTAG & AS 接 口 MeTech 版 权 所 有 5

6 (2) SD 卡 模 块 (3) VGA 接 口 (4) LCD12864 & LCD1602 接 口 (5) 8 位 LED 灯 (6) 8 位 数 码 管 (7) 8 位 按 键 按 键 (8) 8 位 DAC (9) 并 行 DAC 分 辨 率 8bit (10) 串 行 ADC, 分 辨 率 12bit (11) DS18B20 温 度 传 感 器 (12) ds1302 实 时 时 钟 (13) 标 准 串 口 (14) 蜂 鸣 器 (15) 1 路 PS2 接 口, 可 外 扩 PC 键 盘 (14) 无 线 通 信 模 块 接 口, 兼 容 大 多 数 无 线 模 块 ( 比 如 RF905 RF903 等 等 ) (16) 外 扩 TFT 2.8 寸 液 晶 (17) 扩 展 排 针, 可 方 便 用 户 自 己 设 计 其 他 资 源 备 注 : 配 置 资 源 中, 液 晶 均 为 单 独 购 买 对 于 TFT 液 晶, 是 外 扩 PCB 然 后 与 Type FPGA 通 过 扩 展 排 针 插 接 上 1.3 产 品 图 片 展 示 MeTech 版 权 所 有 6

7 1.4 FPGA 开 发 板 使 用 简 介 1 数 码 管 下 方 的 跳 线 帽 为 LED 电 源 跳 线, 拔 掉,LED 则 不 亮 2 2 个 可 调 电 阻, 左 边 一 个 为 ADC 电 压 测 量 调 节 电 阻, 右 边 为 LCD1602 和 LCD12864 的 对 比 度 调 节 3 ADC 附 近 的 插 针 REF+ 和 RE- 需 要 用 跳 线 引 到 所 需 要 的 参 考 上 面, 默 认 REF+ 连 接 VCC3.3,VREF- 连 接 GND 4 数 码 管 上 面 的 跳 线 帽 为 LCD12864 的 串 行 和 并 行 选 择, 我 们 默 认 选 择 是 并 行 5 串 口 边 上 的 插 针 和 跳 线 为 DAC 插 针, 我 们 默 认 把 DAC 输 出 到 一 个 LED 6 FPGA 右 边 的 2 个 插 针 孔 为 外 部 CLK 输 入 预 留 7 PWR_LCD 是 选 择 LCD 供 电 电 压, 通 常 我 们 的 LCD1602 为 5V, LCD12864 为 3.3V, 大 家 根 据 自 己 需 求 选 择 8 电 源 电 压 为 5V, 一 定 不 得 超 过 6V, 电 源 电 路 带 有 反 向 保 护 功 能 9 FPGA 上 的 跳 线 操 作, 插 接 操 作, 均 先 断 电, 后 操 作, 然 后 上 电, 防 止 器 件 顺 坏 MeTech 版 权 所 有 7

8 第 二 章 :Quartus II 操 作 入 门 指 导 本 章 节 作 为 新 手 刚 刚 接 触 FPGA 开 发 所 编 写 的, 如 果 您 已 经 对 altera 和 Quartus II 有 所 了 解, 那 么 这 章 您 可 以 跳 过, 节 省 您 的 时 间 在 本 章 开 讲 前, 您 先 安 装 好 我 们 提 供 的 Quartus II 软 件, 对 于 Quartus II 的 破 解, 我 们 也 有 提 供, 在 光 盘, 其 中 有 讲 解 怎 么 破 解, 这 里 不 再 重 复 2.1 新 建 工 程 双 击 打 开 我 们 安 装 好 的 Quartus II, 笔 者 使 用 的 是 window 7,32bit 操 作 系 统 如 果 您 破 解 好 了, 那 么 打 开 Quartus 将 出 现 如 下 界 面 : 在 这 里 我 们 选 择 关 闭, 我 们 可 以 先 新 建 文 件, 再 建 立 工 程, 或 则 先 建 立 工 程 再 建 立 文 件, 其 实 都 一 样 的, 我 们 这 里 选 择 先 建 立 文 件, 再 建 立 工 程 MeTech 版 权 所 有 8

9 点 击 file >New, 然 后 我 们 选 择 verilog 语 言, 点 击 OK MeTech 版 权 所 有 9

10 然 后 我 们 就 可 以 写 代 码 了, 我 们 这 里 一 次 性 把 元 件 例 化 一 起 讲 解, 我 们 在 底 层 的 模 块 做 一 个 时 钟 分 频 模 块, 顶 层 调 用 底 层 产 生 的 时 钟, 顶 层 完 成 对 LED 赋 值, 这 样 一 个 功 能 因 此 我 们 同 样 建 立 2 个 verilog 文 件 MeTech 版 权 所 有 10

11 然 后 编 写 底 层 模 块 代 码 clk_1hz.v module clk_1hz ( sys_clk,reset_b, clk_out, ); input sys_clk,reset_b; output clk_out; reg clk_out; reg [31:0] count; (posedge sys_clk) begin if(!reset_b) begin count <= 32'd0; end else begin count<=count+1'b1; if(count==32'd ) begin count<=32'd0; clk_out <=! clk_out; end end end endmodule 顶 层 模 块 代 码 : module led ( sys_clk,reset_b, led_out ); input sys_clk,reset_b; output led_out; reg led_out; wire clk_out; wire sys_clk,reset_b; clk_1hz U1 MeTech 版 权 所 有 11

12 ( );.sys_clk.reset_b.clk_out (sys_clk), (reset_b), (clk_out) initial led_out <= 1'b1; (posedge clk_out ) begin if(!reset_b) begin led_out end else led_out <= 1'b1; <=! led_out; end endmodule 当 我 们 保 存 编 写 好 的 代 码 的 时 候, 就 会 提 示 我 们 保 存 路 径 和 保 存 的 名 字, 这 里 路 径 大 家 根 据 需 要 设 置, 文 件 名 要 和 模 块 名 字 一 样, 下 图 为 笔 者 保 存 顶 层 模 块 时 候 的 提 示 : 我 们 点 击 保 存 之 后, 就 会 有 下 面 提 示, 我 们 点 击 是, MeTech 版 权 所 有 12

13 然 后 出 现 下 图, 点 击 next 这 里 就 是 我 们 刚 才 保 存 的 路 径 和 工 程 名 字, 注 意 的 是 工 程 名 和 顶 层 模 块 名 字 一 致, 点 击 next MeTech 版 权 所 有 13

14 这 里 只 提 示 有 一 个 文 件, 没 关 系, 我 们 先 把 工 程 建 立 好, 点 击 next MeTech 版 权 所 有 14

15 根 据 需 要 我 们 选 择 芯 片 点 击 next MeTech 版 权 所 有 15

16 这 里 提 示 信 息, 主 要 对 我 们 有 用 的 是 仿 真 工 具 设 置, 也 就 是 中 间 那 一 项, 我 们 可 以 忽 略, 因 为 modelsim 可 以 单 独 仿 真 稍 后 将 会 讲 解 点 击 next MeTech 版 权 所 有 16

17 此 处 显 示 的 是 我 们 所 配 置 的 工 程 点 击 Finish 2.2 编 译 以 及 锁 定 引 脚 首 先 使 用 如 下 图 示 编 译, 因 为 Quartus II 编 译 很 慢, 所 以 我 们 前 期 验 证 语 法 和 编 译 出 IO 口, 方 便 锁 定 引 脚, 只 需 要 执 行 这 一 步 就 OK 等 到 无 误, 再 全 部 编 译, 布 局 布 线 如 下 是 编 译 信 息 MeTech 版 权 所 有 17

18 对 于 锁 定 引 脚, 我 们 有 一 个 简 单 的 方 法, 就 是 新 建 一 个 txt 文 件, 对 于 此 工 程, 我 们 填 入 如 下 信 息 : to, location sys_clk, PIN_18 reset_b, PIN_53 led_out, PIN_133 然 后 打 开 我 们 刚 才 的 txt 文 件 MeTech 版 权 所 有 18

19 Message 信 息 栏 中 也 有 如 下 信 息 : 我 们 再 打 开 assignments pins 查 看,pin 已 经 自 己 定 义 好 了 MeTech 版 权 所 有 19

20 我 们 再 进 入 assignments > Device 设 置 没 有 用 到 的 pin 默 认 为 输 入 高 阻 态 MeTech 版 权 所 有 20

21 我 们 再 进 行 全 部 编 译 布 线 如 图 为 编 译 完 成 提 示 信 息 : 主 要 提 示 为, 使 用 了 45 个 LE, 站 全 部 比 例 小 于 百 分 之 1. MeTech 版 权 所 有 21

22 2.3 下 载 以 及 固 化 首 先 下 载 代 码 到 FPGA 的 RAM 中 验 证, 当 代 码 没 有 问 题 后, 我 们 再 下 载 到 EPCS 芯 片 固 化, 通 常 我 们 调 试 的 时 候, 都 是 在 FPGA RAM 中 进 行, 因 为 这 样 掉 电 就 丢 失 调 试 数 据, 方 便 我 们 调 试, 另 外 EPCS 存 储 芯 片 擦 写 次 数 都 是 有 限 的, 其 实 所 有 的 存 储 器 都 是 擦 写 有 限 次 的 因 此 我 们 尽 量 少 擦 写 EPCS 芯 片, 尽 量 在 RAM 中 调 试 下 载 代 码 到 ECS, 首 先 我 们 要 插 上 USB blaster 工 具, 点 击 如 下 按 钮 进 入 下 载 界 面 如 果 您 的 软 件 没 有 发 现 下 载 器 那 么, 您 可 以 点 击 Hardware Setup, 添 加 硬 件 设 备 MeTech 版 权 所 有 22

23 添 加 好 以 后, 点 击 start : 出 现 此 界 面 为 编 程 结 束 如 果 我 们 要 固 化 代 码 我 们 有 2 种 方 法 : AS 模 式 固 化 首 先 我 们 把 下 载 器 插 在 AS 接 口 上 面 在 编 程 界 面 中 选 择 AS 模 式 出 现 如 下 提 示, 点 击 是 MeTech 版 权 所 有 23

24 然 后 打 开 EPCS 可 下 载 的 文 件.pof 文 件 然 后 勾 选 编 程 配 置 和 校 验 2 个 栏 目 再 点 击 start MeTech 版 权 所 有 24

25 出 现 如 下 界 面, 下 载 程 序 OK, 拔 掉 编 程 器, 重 启, 可 以 发 现 重 启 N 次, 代 码 已 经 可 以 运 行 生 成 JIC 文 件 在 file 中 打 开 如 下 菜 单 : 先 执 行 如 下 1,2,3 步 操 作 : MeTech 版 权 所 有 25

26 然 后 进 行 如 下 步 骤, 添 加 器 件 : 执 行 以 下 步 骤, 添 加 文 件 : MeTech 版 权 所 有 26

27 MeTech 版 权 所 有 27

28 最 后 点 击 Generate 生 成 文 件 然 后 再 打 开 编 程 界 面, 选 择 JTAG 模 式, 这 个 大 家 一 定 要 注 意!!!! MeTech 版 权 所 有 28

29 点 击 start 编 程 如 图, 编 程 完 成, 配 置 好 了 EPCS 到 这 里,Quartus II 的 基 本 用 法 以 及 简 单 的 层 次 化 设 计 讲 解 完 毕 在 以 后 的 例 程 讲 解 中 我 们 不 再 重 复 MeTech 版 权 所 有 29

30 第 三 章 : Modelsim 基 本 应 用 本 章 主 要 讲 解 Modelsim 简 单 的 仿 真 和 test bench 的 基 本 写 法 大 家 需 要 先 安 装 好 光 盘 附 带 的 modelsim 3.1 新 建 工 程 我 们 以 上 一 章 的 例 子 为 例, 用 modelsim 仿 真 先 新 建 一 个 目 录, 大 家 注 意, 在 文 件 夹 中 最 好 有 这 样 三 个 目 录 : 其 中 src 用 来 存 放 源 文 件,pin 隐 射 文 件,q2 主 要 存 放 Quartus II 工 程, mode 存 放 Modelsim 工 程, 这 样 清 晰 明 了 好 的 习 惯 一 定 要 注 重 点 击 file >New 出 现 如 下 图 对 话 框, 其 中 第 一 个 是 填 写 工 程 名 字, 第 二 项 为 定 位 工 程 路 径 点 击 OK MeTech 版 权 所 有 30

31 到 这 里 我 们 就 需 要 New 一 个 test bench 文 件, 到 时 候 一 并 加 进 来, 我 们 写 了 一 个 test bench 如 下 : module led_tb ; reg sys_clk,reset_b; wire led_out,clk; led i3 (.sys_clk (sys_clk),.reset_b (reset_b),.led_out (led_out) ); always #10 sys_clk = ~sys_clk; initial begin #0 reset_b=1'b0; sys_clk=1'b0; #100 reset_b=1'b1; end endmodule 其 实 简 单 的 说, test bench 就 是 一 个 顶 层 再 次 调 用 我 们 的 工 程 顶 层 文 件 配 置 一 些 主 要 的 激 励 源 后, 保 存 为 led_tb.v 再 接 着 上 一 步 点 击 OK 后 出 现 如 下 对 话 框 : MeTech 版 权 所 有 31

32 选 择 ADD existing file, 通 过 Browse 选 择 文 件, 把 2 个 源 文 件 和 一 个 test bench 文 件 一 起 选 择 中 打 开 : MeTech 版 权 所 有 32

33 点 击 OK 3.2 编 译 这 里 再 介 绍 一 个 文 件 就 是 do 文 件, 通 常, 通 常 do 文 件 要 放 在 modelsim 目 录 下, 我 们 这 里 写 了 一 个 do 文 件 如 下, 文 件 名 为 do.do # 映 射 工 作 库 vlib work vmap work # 编 译 文 件 vlog../src/led.v vlog../src/led_tb.v # 添 加 文 件 vsim -L work work.led_tb # 添 加 波 形 add wave -hex /* # 运 行 时 间 run 具 体 含 义 大 致 为, 定 位 工 作 路 径, 编 译, 添 加 波 形, 最 后 是 运 行 时 间 其 实 和 我 们 手 工 执 行 一 样, 这 里 就 简 单 介 绍 一 下 我 们 先 编 译 全 部 compile > compile all 我 们 在 命 令 窗 口 中 输 入 do do.do 执 行 后 如 下 图 MeTech 版 权 所 有 33

34 3.3 仿 真 3.2 章 节 的 图 并 非 我 们 想 要 的, 为 什 么 呢 因 为 做 了 次 技 术, 但 是 我 们 的 test bench 才 运 行 了 10000, 因 此, 我 们 仿 真 的 时 候 可 以 吧 修 改 为 5, 来 进 行 测 试, 同 时, 我 们 加 入 了 一 个 测 试 量,clk, 他 是 clk 分 频 后 输 出 的 信 号, 先 看 仿 真 波 形 : 上 图 为 我 们 再 次 执 行 compile > compile all, 在 命 令 窗 口 中 输 入 do do.do 得 到 的 结 果, 经 分 析, 确 实 是 我 们 所 需 要 的, 我 们 加 入 测 试 量 后, 代 码 如 下 : Test bench module led_tb ; reg sys_clk,reset_b; wire led_out,clk; led i3 (.sys_clk (sys_clk),.reset_b (reset_b),.led_out (led_out),.clk (clk) ); always #10 sys_clk = ~sys_clk; initial begin #0 reset_b=1'b0; sys_clk=1'b0; #100 reset_b=1'b1; end endmodule LED.V module led ( MeTech 版 权 所 有 34

35 ); sys_clk,reset_b, led_out,clk input sys_clk,reset_b,clk; output led_out; reg led_out; wire clk_out; wire sys_clk,reset_b; clk_1hz U1 (.sys_clk.reset_b.clk_out ); (sys_clk), (reset_b), (clk_out) initial led_out <= 1'b1; (posedge clk_out ) begin if(!reset_b) begin led_out end else led_out end assign clk=clk_out; endmodule CLK_1HZ.V module clk_1hz ( sys_clk,reset_b, clk_out, ); input sys_clk,reset_b; output clk_out; reg clk_out; reg [31:0] count; <= 1'b1; (posedge sys_clk) begin if(!reset_b) begin count <= 32'd0; clk_out<=1'b0; end else begin count<=count+1'b1; if(count==32'd5) begin count<=32'd0; <=! led_out; MeTech 版 权 所 有 35

36 end end end endmodule clk_out <=! clk_out; 到 这 里, 我 们 的 modelsim 基 本 使 用 讲 解 完 毕 到 这 后, 大 家 应 该 学 会 了 基 本 的 代 码 编 写, 软 件 使 用, 以 及 仿 真 MeTech 版 权 所 有 36

37 第 四 章 联 系 我 们 ME Tech 简 介 ME Tech 由 多 年 从 事 ARM FPGA 研 发 的 工 程 师 组 成, 对 嵌 入 式 系 统 开 发 有 非 常 深 入 的 了 解, 团 队 主 要 从 事 于 ARM 与 FPGA 系 列 产 品 研 发, 在 此 欢 迎 电 子 行 业 同 仁 前 来 访 问 和 洽 谈 项 目 合 作, 欢 迎 提 出 新 产 品 需 求 ME Tech 给 您 的 承 诺 本 产 品 提 供 以 下 质 量 保 证 : 保 修 服 务 : -> 时 限 : 一 年 -> 免 费 保 修 ;( 正 确 使 用 下 产 生 的 质 量 问 题, 免 费 保 修, 主 CPU 物 理 损 坏 不 在 维 修 范 围 内 ) -> 收 费 保 修 ;( 非 正 确 使 用 情 况 下 产 生 的 质 量 问 题, 视 损 坏 程 度 收 取 维 修 费 ) -> 往 来 的 运 费 由 客 户 方 承 担 技 术 支 持 QQ : 技 术 支 持 QQ 群 : China AET 讨 论 组 笔 者 博 客 : 地 址 : 杭 州 市 下 沙 开 发 区 MeTech 版 权 所 有 37

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

$$() * * ) ) + + +, ) - ),,, ) ). /, ) ) ). /01(). /,,. / ) ), ) ), + + ) ), ) ) ) ) ), $ ( ) $ $ $ ( ) * $ $ * * (, -. -/01/. (, -. * $ ) ( + $ $ ( ) $ ** $ $ $ $ ** ** + $ ), $ $ ( )) * ( * + $ $ (

More information

要 站 立 得 稳, 我 在 十 字 架 上 已 经 都 抢 夺 过 来 了, 将 魔 鬼 不 让 你 们 来 享 用 的 都 推 开 了, 这 是 让 我 们 来 得 到 的 话 语 我 们 再 也 不 被 奴 仆 的 轭 辖 制, 要 来 拥 有 才 可 以 明 知 道 却 不 去 抢 夺 过

要 站 立 得 稳, 我 在 十 字 架 上 已 经 都 抢 夺 过 来 了, 将 魔 鬼 不 让 你 们 来 享 用 的 都 推 开 了, 这 是 让 我 们 来 得 到 的 话 语 我 们 再 也 不 被 奴 仆 的 轭 辖 制, 要 来 拥 有 才 可 以 明 知 道 却 不 去 抢 夺 过 日 分 期 :2014 年 1 月 5 日 类 : 圣 餐 主 日 讲 道 证 道 人 : 赵 镛 基 牧 师 题 目 : 什 么 样 的 人 能 够 享 受 到 福 分 本 文 话 语 : 约 书 亚 记 1:11 < 本 文 > 你 们 要 走 遍 营 中, 吩 咐 百 姓 说, 当 预 备 食 物 因 为 三 日 之 内 你 们 要 过 这 约 旦 河, 进 去 得 耶 和 华 你 们 神 赐

More information

<4D6963726F736F667420576F7264202D20BBA6CBC9BDCCB0ECA1B232303135A1B33731BAC520B8BDBCFE2E646F63>

<4D6963726F736F667420576F7264202D20BBA6CBC9BDCCB0ECA1B232303135A1B33731BAC520B8BDBCFE2E646F63> 附 件 : 2015 年 松 江 区 教 育 系 统 防 汛 防 台 专 项 应 急 预 案 目 录 1 总 则 1.1 编 制 目 的 1.2 编 制 依 据 1.3 适 用 范 围 1.4 工 作 原 则 2 组 织 体 系 2.1 区 教 育 局 2.2 中 小 学 幼 托 园 所 3 预 防 预 警 3.1 预 防 预 警 信 息 3.2 预 警 级 别 划 分 3.3 预 防 预 警 准

More information

广州民航职业技术学院

广州民航职业技术学院 广 州 民 航 职 业 技 术 学 院 2015 年 毕 业 生 就 业 质 量 年 度 报 告 目 录 摘 要...1 前 言...2 第 一 篇 就 业 工 作 情 况...3 一 健 全 完 善 就 业 创 业 工 作 体 系...3 二 发 挥 民 航 行 业 院 校 优 势, 做 好 就 业 创 业 服 务 工 作...3 三 研 究 民 航 行 业 市 场 特 点, 有 针 对 性 开

More information

发 展 为 目 标, 以 发 挥 事 务 所 党 组 织 战 斗 堡 垒 作 用 和 党 员 先 锋 模 范 作 用 为 重 点, 以 党 务 工 作 者 队 伍 建 设 为 支 撑, 以 制 度 机 制 建 设 为 保 障, 不 断 创 新 理 念 措 施 和 载 体, 在 新 的 起 点 上 全

发 展 为 目 标, 以 发 挥 事 务 所 党 组 织 战 斗 堡 垒 作 用 和 党 员 先 锋 模 范 作 用 为 重 点, 以 党 务 工 作 者 队 伍 建 设 为 支 撑, 以 制 度 机 制 建 设 为 保 障, 不 断 创 新 理 念 措 施 和 载 体, 在 新 的 起 点 上 全 附 件 关 于 进 一 步 深 化 注 册 会 计 师 行 业 党 的 建 设 工 作 的 指 导 意 见 2009 年 中 共 中 央 组 织 部 和 财 政 部 党 组 联 合 印 发 关 于 进 一 步 加 强 注 册 会 计 师 行 业 党 的 建 设 工 作 的 通 知 以 来, 注 册 会 计 师 行 业 创 建 了 条 块 结 合 充 分 发 挥 行 业 党 组 织 作 用 的 党 建

More information

2013年全区基层党建工作

2013年全区基层党建工作 2013 年 全 区 基 层 党 建 工 作 专 项 述 职 报 告 汇 编 中 共 深 圳 市 罗 湖 区 委 组 织 部 2014 年 1 月 目 录 区 直 机 关 工 委 2013 年 基 层 党 建 工 作 专 项 述 职 报 告 1 公 安 分 局 党 委 2013 年 基 层 党 建 工 作 专 项 述 职 报 告 8 区 教 育 局 党 委 2013 年 基 层 党 建 工 作 专

More information

中 国 近 现 代 史 纲 要 重 要 会 议 ( 一 ) 党 的 创 建 时 期 1. 一 大 (1921 7 23, 上 海 ), 中 国 共 产 党 成 立 (1) 内 容 :1 确 定 党 的 名 称 为 中 国 共 产 党 2 通 过 了 中 国 共 产 党 第 一 个 党 纲 : 以 无

中 国 近 现 代 史 纲 要 重 要 会 议 ( 一 ) 党 的 创 建 时 期 1. 一 大 (1921 7 23, 上 海 ), 中 国 共 产 党 成 立 (1) 内 容 :1 确 定 党 的 名 称 为 中 国 共 产 党 2 通 过 了 中 国 共 产 党 第 一 个 党 纲 : 以 无 中 国 近 代 史 第 一 次 1. 中 国 近 代 史 上 第 一 个 不 平 等 条 约 是 中 英 南 京 条 约 2. 中 国 同 美 国 签 订 的 第 一 个 不 平 等 条 约 是 中 美 望 厦 条 约 3. 中 国 同 法 国 签 订 的 第 一 个 不 平 等 条 约 是 中 法 黄 埔 条 约 4. 鸦 片 战 争 是 中 国 近 代 史 的 起 点 5. 三 元 里 人 民

More information

就 是 要 步 步 深 入 环 环 相 扣, 以 严 肃 的 态 度 严 抓 的 韧 劲, 把 全 面 从 严 治 党 的 良 好 态 势 巩 固 发 展 下 去, 做 到 真 管 真 严 长 管 长 严 开 展 两 学 一 做 学 习 教 育, 是 推 进 思 想 政 治 建 设 常 态 化 制

就 是 要 步 步 深 入 环 环 相 扣, 以 严 肃 的 态 度 严 抓 的 韧 劲, 把 全 面 从 严 治 党 的 良 好 态 势 巩 固 发 展 下 去, 做 到 真 管 真 严 长 管 长 严 开 展 两 学 一 做 学 习 教 育, 是 推 进 思 想 政 治 建 设 常 态 化 制 附 件 注 册 会 计 师 行 业 学 党 章 党 规 学 系 列 讲 话, 做 合 格 党 员 学 习 教 育 的 指 导 意 见 2016 年 在 全 体 党 员 中 开 展 学 党 章 党 规 学 系 列 讲 话, 做 合 格 党 员 学 习 教 育 ( 以 下 简 称 两 学 一 做 学 习 教 育 ) 是 党 中 央 作 出 的 重 大 决 定, 指 导 注 册 会 计 师 行 业 开 展

More information

幻灯片 1

幻灯片 1 白 色 花 诗 集 人 民 文 学 出 版 社 1981 年 出 版 共 收 七 月 派 诗 人 阿 垅 鲁 藜 孙 钿 彭 燕 郊 方 然 冀 汸 钟 瑄 郑 思 曾 卓 杜 谷 绿 原 胡 征 芦 甸 徐 放 牛 汉 鲁 煤 化 铁 朱 健 朱 谷 怀 罗 洛 等 二 十 人 的 诗 作 一 百 十 九 首 集 名 出 自 阿 垅 未 入 选 的 一 首 诗 中 要 开 作 一 枝 白 色 花

More information

Microsoft Word - 功医检测问&答

Microsoft Word - 功医检测问&答 功 能 医 学 检 测 问 答 1 功 能 性 医 学 的 要 义 1 个 体 差 异 因 每 个 人 的 基 因 生 活 习 惯 先 天 体 质 饮 食 习 惯 生 活 环 境 等 等 都 与 别 人 不 同, 那 么 身 体 的 衰 老 的 速 度 程 度 及 所 发 生 的 疾 病 也 是 与 别 人 是 不 同 的, 功 医 是 依 个 人 体 质 状 况 量 身 制 定, 分 析 个 人

More information

<4D6963726F736F667420576F7264202D20312EA1B6BDCCCAA6D7CAB8F1CCF5C0FDA1B72E646F63>

<4D6963726F736F667420576F7264202D20312EA1B6BDCCCAA6D7CAB8F1CCF5C0FDA1B72E646F63> 教 师 资 格 考 试 资 料 汇 编 目 录 1. 教 师 资 格 条 例...1 2. 教 师 资 格 条 例 实 施 办 法...5 3. 中 小 学 教 师 资 格 考 试 暂 行 办 法...9 4. 中 小 学 教 师 资 格 定 期 注 册 暂 行 办 法...13 5. 中 小 学 和 幼 儿 园 教 师 资 格 考 试 标 准 ( 试 行 )...16 6. 全 国 教 师 资 格

More information

Microsoft Word - 长安大学.doc

Microsoft Word - 长安大学.doc 长 安 大 学 805 管 理 学 全 套 考 研 资 料 ... 2 长 安 大 学 803 道 路 工 程 全 套 考 研 资 料 ... 2 长 安 大 学 802 结 构 设 计 原 理 全 套 考 研 资 料 ... 3 长 安 大 学 806 汽 车 理 论 全

More information

第 一 部 分 增 城 区 人 力 资 源 和 社 会 保 障 局 概 况 一 广 州 市 增 城 区 人 力 资 源 和 社 会 保 障 局 主 要 职 能 广 州 市 增 城 区 人 力 资 源 和 社 会 保 障 局 是 区 委 区 政 府 主 管 人 事 人 才 劳 动 社 会 保 障 的

第 一 部 分 增 城 区 人 力 资 源 和 社 会 保 障 局 概 况 一 广 州 市 增 城 区 人 力 资 源 和 社 会 保 障 局 主 要 职 能 广 州 市 增 城 区 人 力 资 源 和 社 会 保 障 局 是 区 委 区 政 府 主 管 人 事 人 才 劳 动 社 会 保 障 的 广 州 市 增 城 区 人 力 资 源 和 社 会 保 障 局 2016 年 部 门 预 算 目 录 第 一 部 分 广 州 市 增 城 区 人 力 资 源 和 社 会 保 障 局 概 况 一 部 门 主 要 职 能 二 部 门 预 算 单 位 构 成 三 部 门 人 员 构 成 第 二 部 分 2016 年 部 门 预 算 安 排 情 况 说 明 第 三 部 分 2016 年 部 门 预 算 报

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

目 录 学 校 概 况 1 一 本 科 教 育 基 本 情 况 2 ( 一 ) 人 才 培 养 目 标 及 服 务 面 向 2 ( 二 ) 本 科 专 业 设 置 情 况 2 ( 三 ) 本 科 生 生 源 质 量 4 ( 四 ) 在 校 生 情 况 5 二 师 资 队 伍 7 ( 一 ) 师 资

目 录 学 校 概 况 1 一 本 科 教 育 基 本 情 况 2 ( 一 ) 人 才 培 养 目 标 及 服 务 面 向 2 ( 二 ) 本 科 专 业 设 置 情 况 2 ( 三 ) 本 科 生 生 源 质 量 4 ( 四 ) 在 校 生 情 况 5 二 师 资 队 伍 7 ( 一 ) 师 资 本 科 教 学 质 量 报 告 2013 年 度 二 一 四 年 十 月 目 录 学 校 概 况 1 一 本 科 教 育 基 本 情 况 2 ( 一 ) 人 才 培 养 目 标 及 服 务 面 向 2 ( 二 ) 本 科 专 业 设 置 情 况 2 ( 三 ) 本 科 生 生 源 质 量 4 ( 四 ) 在 校 生 情 况 5 二 师 资 队 伍 7 ( 一 ) 师 资 数 量 与 结 构 7 (

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

<4D6963726F736F667420576F7264202D20AE67BD62B6A4C1FAB0EAB2BEA661B056BD6DAAF0B0EAB3F8A7695F30372E31302E31365F2E646F63>

<4D6963726F736F667420576F7264202D20AE67BD62B6A4C1FAB0EAB2BEA661B056BD6DAAF0B0EAB3F8A7695F30372E31302E31365F2E646F63> 出 國 報 告 ( 出 國 類 別 : 其 他 ) 2007 年 射 箭 隊 韓 國 移 地 訓 練 計 畫 服 務 機 關 : 國 立 臺 灣 體 育 學 院 姓 名 職 稱 : 吳 聰 義 講 師 派 赴 國 家 : 韓 國 槐 山 出 國 期 間 :96 年 8 月 23 日 至 96 年 8 月 30 日 報 告 日 期 :96 年 9 月 11 日 摘 要 本 次 國 立 台 灣 體 育

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

一 耀 州 青 瓷 的 裝 飾 手 法 與 紋 飾 種 類 耀 州 窯 的 裝 飾 紋 樣, 豐 富 多 變, 而 且 題 材 內 容 廣 泛, 組 合 形 式 多 樣, 圖 案 形 象 優 美, 令 人 賞 心 悅 目, 並 且 反 映 了 當 時 社 會 的 審 美 趣 味 和 理 想 裝 飾

一 耀 州 青 瓷 的 裝 飾 手 法 與 紋 飾 種 類 耀 州 窯 的 裝 飾 紋 樣, 豐 富 多 變, 而 且 題 材 內 容 廣 泛, 組 合 形 式 多 樣, 圖 案 形 象 優 美, 令 人 賞 心 悅 目, 並 且 反 映 了 當 時 社 會 的 審 美 趣 味 和 理 想 裝 飾 宋 代 耀 州 青 瓷 的 紋 飾 風 格 與 意 義 曾 肅 良 英 國 萊 斯 特 大 學 博 物 館 學 博 士 國 立 台 灣 師 範 大 學 美 術 研 究 所 助 理 教 授 摘 要 中 國 的 飲 茶 之 風, 興 於 唐 而 盛 於 宋, 特 別 是 宋 代 宮 廷 禁 苑 和 地 方 官 吏 文 人 學 士 的 尚 茶 崇 茶, 以 品 茶 為 雅 尚 的 觀 念 與 作 法, 使

More information

#. #. # #. /0* # # # # # /0* /12345416 04787948: # # )*+,- *:87712 # # # # */0* # # # # # ) # * /0* # )*+,- # )*+,- * ) ) * ) )*+,- # # # /0* # # # /0

#. #. # #. /0* # # # # # /0* /12345416 04787948: # # )*+,- *:87712 # # # # */0* # # # # # ) # * /0* # )*+,- # )*+,- * ) ) * ) )*+,- # # # /0* # # # /0 - 5 # ) # *+,-./0123 ) # 011* -1 /## # 112 2 2 # # 3)4+1-*# # # /..,252)2 # -))+ - # -))2 1 - # # # /.. # # /.. # # # /.. ## # # ))* +, # # 112 2 2 -.# # # # /.. # # # # /.. # /.. # #,252)2 -))2 1 # #

More information

得 到 了 補 償. 對 於 武 姜 而 言, 莊 公 與 自 己 的 關 係 並 不 親 密, 而 共 叔 段 又 是 自 己 向 來 疼 愛 有 加 的 兒 子, 所 以, 對 莊 公 提 出 再 怎 麼 無 理 的 要 求, 武 姜 也 不 會 覺 得 有 什 麼 不 妥 之 處, 而 對 共

得 到 了 補 償. 對 於 武 姜 而 言, 莊 公 與 自 己 的 關 係 並 不 親 密, 而 共 叔 段 又 是 自 己 向 來 疼 愛 有 加 的 兒 子, 所 以, 對 莊 公 提 出 再 怎 麼 無 理 的 要 求, 武 姜 也 不 會 覺 得 有 什 麼 不 妥 之 處, 而 對 共 左 傳 - 鄭 伯 克 段 於 鄢 人 物 心 理 1021141 林 詩 倩 一. 緒 論 鄭 伯 克 段 於 鄢, 及 共 叔 段 之 亂, 是 魯 隱 公 元 年, 即 公 元 前 722 年, 春 秋 初 年 在 鄭 國 國 內 發 生 的 一 場 內 亂. 武 姜 成 為 武 公 夫 人 並 先 後 為 武 公 生 下 了 兩 個 兒 子, 長 子 莊 公 由 於 腳 先 出 來 造 成

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

1911 年 武 汉 起 义, 广 东 独 立 胡 汉 民 任 总 督, 陈 任 广 东 军 政 府 外 交 部 副 部 长 陈 不 愿 做 官, 几 个 月 后 即 辞 职 1915 年 与 李 煜 堂 设 立 上 海 保 险 公 司, 陈 任 主 席 1921 年 孙 中 山 就 任 非 常 大

1911 年 武 汉 起 义, 广 东 独 立 胡 汉 民 任 总 督, 陈 任 广 东 军 政 府 外 交 部 副 部 长 陈 不 愿 做 官, 几 个 月 后 即 辞 职 1915 年 与 李 煜 堂 设 立 上 海 保 险 公 司, 陈 任 主 席 1921 年 孙 中 山 就 任 非 常 大 近 代 新 会 名 人 事 迹 张 云 田 : 新 会 县 双 水 区 人, 中 国 同 盟 会 员 华 侨 镇 南 关 起 义 烈 士 张 云 田 少 年 受 其 父 教 育, 精 通 文 翰, 其 时 深 受 外 国 嘲 笑 中 华 民 族 为 东 亚 病 夫 之 辱, 因 而 弃 文 就 武, 中 武 秀 才 中 年 时 结 交 三 合 会 兄 弟, 立 志 革 清 兴 华, 参 加 孙 中

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

Microsoft Word - 國文.doc

Microsoft Word - 國文.doc 公文 橫 13 格 直 22 格 橫線 是實線 字體不可壓在線上 直線 是虛線 字體壓在線上沒關係 字體大小略分為 3 種 最大 字佔滿格子 紅字 中等 一般大小 字的上下左右還有一些空間 藍字 最小 一格之內寫兩字 綠字 表示您寫的內容 也有某位老師說 字體根本不用分大小 那不是重點 但我個人覺得 呈現出來 版面會很奇怪 所 以不敢冒險聽他的 注意用字 保存 年 限保密 期 限 不要寫成保存期限或是保密年限

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

2010............... 1 1 2010...1 2 2010...5 3 2010...6 4 2010...7 5 2010...8 6 2010...9 7 2010 500...10 8 2010...20 9 2010...50 2010.........52 1 2010

2010............... 1 1 2010...1 2 2010...5 3 2010...6 4 2010...7 5 2010...8 6 2010...9 7 2010 500...10 8 2010...20 9 2010...50 2010.........52 1 2010 2010 2010............... 1 1 2010...1 2 2010...5 3 2010...6 4 2010...7 5 2010...8 6 2010...9 7 2010 500...10 8 2010...20 9 2010...50 2010.........52 1 2010...52 2 2010...55 I 3 2010...56 4 2010...57 5

More information

MyCOS

MyCOS 高 校 毕 业 生 就 业 质 量 年 度 分 析 报 告 学 校 概 况 山 东 万 杰 医 学 院 始 建 于 1995 年,1999 年 经 教 育 部 批 准 成 为 具 有 学 历 教 育 资 格 的 民 办 普 通 专 科 学 校,2008 年 经 教 育 部 批 准 升 格 为 全 日 制 普 通 本 科 高 校, 隶 属 于 山 东 省 商 业 集 团 有 限 公 司 ( 简 称 鲁

More information

最新监狱管理执法全书(二百零五)

最新监狱管理执法全书(二百零五) .............................. I ........................... II ................................. III 1996 1994 5 16 1 2 1997 12 29 84 1996 1994 5 16

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3CAD0D0D0D5FEB9DCC0EDD1A7D0A332303131C4EAB6C8C9E7BBE1D4F0C8CEB1A8B8E6A3A8323031322E362E3139A3A92E646F63>

<4D6963726F736F667420576F7264202D20C9CFBAA3CAD0D0D0D5FEB9DCC0EDD1A7D0A332303131C4EAB6C8C9E7BBE1D4F0C8CEB1A8B8E6A3A8323031322E362E3139A3A92E646F63> 上 海 市 行 政 管 理 学 校 2011 年 度 文 明 单 位 社 会 责 任 报 告 2012 年 4 月 目 录 第 一 部 分 公 开 陈 述...3 第 二 部 分 学 校 概 况...5 I-1 规 模 与 性 质...5 I-2 所 属 类 别...6 I-3 组 织 机 构...6 第 三 部 分 主 要 参 数...7 I-1 报 告 篇 幅...7 I-2 报 告 时 效 与

More information

公司预计2010年日常关联交易的议案

公司预计2010年日常关联交易的议案 证 券 代 码 : 600680 900930 证 券 简 称 : 上 海 普 天 沪 普 天 B 编 号 : 临 2016-010 上 海 普 天 邮 通 科 技 股 份 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容

More information

(\244j\257d\276\307\274\351_201508021-C.indd_70%.pdf)

(\244j\257d\276\307\274\351_201508021-C.indd_70%.pdf) 1847-1852 1872 20 1 1896 8000 20 1896 1950 1 1896 1896 13 1900 1900 3 20 2 4 1910 1950 3 1911 1 2 3 4 1927 4 20 300 6 1906 1930 7 1911 5 1919 8 1914 9 1920 10 11 1902 200 6 12 1930 7 " # #! $! 14 15! "!

More information

文 每 由 充 羊 * 亚 就 N 有 达 品 周 成 虽 驰 水 拟 希 公 下 它 当 上 希 仿 上 潘 注 可 当 缪 歇 传 湖 也 也 对 多 生 古 反 或 只 牛 分 可 妙 西 4 期 杨 宏 芹 发 展 之 源 与 流 7 e < x ; > u 0 V 转 义 可 表 示 短

文 每 由 充 羊 * 亚 就 N 有 达 品 周 成 虽 驰 水 拟 希 公 下 它 当 上 希 仿 上 潘 注 可 当 缪 歇 传 湖 也 也 对 多 生 古 反 或 只 牛 分 可 妙 西 4 期 杨 宏 芹 发 展 之 源 与 流 7 e < x ; > u 0 V 转 义 可 表 示 短 N 古 不 讲 结 仅 湖 独 桑 A : 兼 社 a 北 e 现 古 编 M 4 卷 4 期 同 济 大 学 学 报 会 科 学 版 V 0 L 4 N 0 4 0 3 8 月 o n g j U n v e r s t y J o u r n a o c c n c e e c t o A u n g 0 3 发 展 之 源 与 流 西 方 文 学 中 的 个 悠 久 的 文 学 传 统 杨 法

More information

出言成章中公教育专家历年研究发现

出言成章中公教育专家历年研究发现 出 言 成 章 中 公 教 育 专 家 历 年 研 究 发 现 29 http://www.iberashop.com 出 言 成 章 中 公 教 育 专 家 历 年 研 究 发 现 一 不 能 主 动 打 开 局 面 五 语 句 啰 嗦 推 荐 阅 读 有 些 考 生 碰 到 比 较 困 难 的 题 目 时 往 往 不 知 如 何 回 答, 在 言 行 举 止 上 下 些 功 夫, 研 究 平 时

More information

永宁县人民政府文件

永宁县人民政府文件 永 宁 县 2015 年 政 府 信 息 公 开 年 度 报 告 本 报 告 由 银 川 市 永 宁 县 人 民 政 府 办 公 室 按 照 中 华 人 民 共 和 国 政 府 信 息 公 开 条 例 宁 夏 回 族 自 治 区 实 施 < 中 华 人 民 共 和 国 政 府 信 息 公 开 条 例 > 办 法 和 国 务 院 办 公 厅 关 于 印 发 2015 年 政 府 信 息 公 开 工 作

More information

全 国 公 共 机 构 节 能 十 二 五 规 划 汇 编 国 管 局 公 共 机 构 节 能 管 理 司 二 一 二 年 八 月 节 约 能 源 资 源 是 我 国 的 基 本 国 策 公 共 机 构 节 能, 是 全 社 会 节 能 的 重 要 组 成 部 分 党 中 央 国 务 院 历 来 高 度 重 视 公 共 机 构 节 能 工 作, 要 求 各 级 公 共 机 构 特 别 是 党 政

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

<4D6963726F736F667420576F7264202D20B9D8D3DA32303135C4EAC9EAB1A8D7A8D2B5BCBCCAF5C8FDBCB6B8DACEBBB5C4CDA8D6AA2E646F63>

<4D6963726F736F667420576F7264202D20B9D8D3DA32303135C4EAC9EAB1A8D7A8D2B5BCBCCAF5C8FDBCB6B8DACEBBB5C4CDA8D6AA2E646F63> 贵 州 大 学 文 件 贵 大 发 2015 40 号 贵 州 大 学 关 于 2015 年 申 报 专 业 技 术 三 级 岗 位 的 通 知 各 学 院 校 直 各 单 位 : 根 据 省 教 育 厅 省 人 力 资 源 和 社 会 保 障 厅 关 于 做 好 2015 年 省 属 高 等 学 校 专 业 技 术 三 级 岗 位 聘 用 评 议 工 作 的 通 知 ( 黔 教 师 发 2015

More information

Microsoft Word - 吴教普〔2016〕19号.doc

Microsoft Word - 吴教普〔2016〕19号.doc 吴 教 普 2016 19 号 关 于 2016 年 初 中 毕 业 生 体 育 考 试 的 实 施 方 案 各 初 中 学 校 : 根 据 中 共 中 央 国 务 院 关 于 加 强 青 少 年 体 育 增 强 青 少 年 体 质 的 意 见 ( 中 发 2007 7 号 ) 精 神 以 及 苏 州 市 教 育 局 关 于 组 织 2016 年 苏 州 市 初 中 毕 业 生 体 育 考 试 的

More information

1965 20 4 37 19 1985 28 19 4 45 1986 38 4 61 1 1 4 114 2 1 1 4 141 4 104 2 3 171 2 3 4 3 4 3 146 3 1956 133 1974 4 1960 1974 4 1965 5 1977 1 1 1984 6 3 1965 5 1959 1961 2 1950 5 1951 1974 8 4 105 19

More information

江 苏 科 技 大 学 809 机 械 设 计 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 >......18 江 苏 科 技 大 学 810 机 械 原 理 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 >......19 江 苏 科 技 大 学 机 械 原

江 苏 科 技 大 学 809 机 械 设 计 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 >......18 江 苏 科 技 大 学 810 机 械 原 理 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 >......19 江 苏 科 技 大 学 机 械 原 江 苏 科 技 大 学 825 西 方 经 济 学 全 套 考 研 资 料 ......3 江 苏 科 技 大 学 西 方 经 济 学 (825) 全 套 考 研 资 料...3 江 苏 科 技 大 学 理 论 力 学 (801) 全 套 考 研 资 料...3 江 苏 科 技 大 学 801 理 论 力 学 全 套 考 研 资 料

More information

<4D6963726F736F667420576F7264202D2030352EA16DBB50B3AFA742A4A7AED1A16EBD67A6AEA4CEA8E4C3C0B34EAF53A6E2B1B4AA522D2DB3B9A5BFA9BE5F702E34332D35345F2E646F63>

<4D6963726F736F667420576F7264202D2030352EA16DBB50B3AFA742A4A7AED1A16EBD67A6AEA4CEA8E4C3C0B34EAF53A6E2B1B4AA522D2DB3B9A5BFA9BE5F702E34332D35345F2E646F63> 丘 遲 與 陳 伯 之 書 篇 旨 及 其 藝 術 特 色 探 析 丘 遲 與 陳 伯 之 書 篇 旨 及 其 藝 術 特 色 探 析 國 立 楊 梅 高 中 國 文 科 教 師 章 正 忠 摘 要 本 文 嘗 試 以 章 法 學 的 角 度 切 入, 探 析 丘 遲 < 與 陳 伯 之 書 > 的 文 學 內 涵 章 法 學 對 文 章 結 構 的 分 析 方 式, 較 傳 統 偏 重 於 段 落

More information

025-

025- < 篇 名 > 本 草 求 真 書 名 : 本 草 求 真 作 者 : 黃 宮 繡 朝 代 : 清 年 份 : 西 元 1644-1911 年 < 目 錄 > < 篇 名 > 凡 例 內 容 : 一 本 草 一 書 首 宜 分 其 形 質 氣 味 次 宜 辨 其 經 絡 臟 腑 終 宜 表 其 證 治 功 能 曆 觀 諸 書 無 不 備 載 然 理 道 不 明 意 義 不 疏 徒 將 治 效 彰 著

More information

042-

042- < 篇 名 > 雷 公 炮 製 藥 性 解 書 名 : 雷 公 炮 製 藥 性 解 作 者 : 李 士 材 朝 代 : 明 年 份 : 西 元 1588~1655 年 < 目 錄 > < 篇 名 > 序 內 容 : 余 讀 仲 景 之 敘 醫, 輒 為 之 掩 卷, 蓋 其 感 生 死 之 芒 忽, 篤 君 父 之 危 殆 賤 名 利 之 浮 榮, 冀 年 壽 以 沒 世 傷 哉 其 言, 焉 得

More information

019-

019- < 篇 名 > 本 經 逢 原 書 名 : 本 經 逢 原 作 者 : 張 璐 朝 代 : 清 年 份 : 西 元 1617-1700 年 < 目 錄 > < 篇 名 > 小 引 內 容 : 醫 之 有 本 經 也, 猶 匠 氏 之 有 繩 墨 也 有 繩 墨 而 後 有 規 矩, 有 規 矩 而 後 能 變 通 變 通 生 乎 智 巧, 又 必 本 諸 繩 墨 也 原 夫 炎 帝 本 經, 繩 墨

More information

親鸞和懺悔道的哲學

親鸞和懺悔道的哲學 輔 仁 宗 教 研 究 第 二 十 期 (2010 年 春 )127-177 頁 台 灣 宗 教 行 政 研 究 的 回 顧 鄭 志 明 輔 仁 大 學 宗 教 學 系 教 授 提 要 有 關 宗 教 行 政 的 學 術 研 究, 大 約 是 最 近 十 年 來 才 逐 漸 地 發 展, 獲 到 學 者 們 的 關 注 與 重 視, 可 是 成 果 仍 相 當 有 限 本 文 將 對 既 有 研 究

More information

027-

027- < 篇 名 > 本 草 述 鉤 元 書 名 : 本 草 述 鉤 元 作 者 : 楊 時 泰 朝 代 : 清 年 份 : 西 元 1644-1911 年 < 目 錄 > < 篇 名 > 武 進 陽 湖 合 志 內 容 : 楊 時 泰 字 穆 如 嘉 慶 己 卯 舉 人 工 醫 事 自 明 以 來 江 南 言 醫 者 類 宗 周 慎 齋 慎 齋 善 以 五 行 制 化 陰 陽 升 降 推 人 髒 氣 而

More information

苏 州 科 技 学 院 825 管 理 学 原 理 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 1-1 825 管 理 学 原 理 真 题 2012-2014, 历 年 真 题 主 要 用 来 研 究 考 研 的 考 点, 重 点 和 出 题 思 路, 为 考 研 最 重 要

苏 州 科 技 学 院 825 管 理 学 原 理 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 1-1 825 管 理 学 原 理 真 题 2012-2014, 历 年 真 题 主 要 用 来 研 究 考 研 的 考 点, 重 点 和 出 题 思 路, 为 考 研 最 重 要 苏 州 科 技 学 院 825 管 理 学 原 理 全 套 考 研 资 料 ... 2 苏 州 科 技 学 院 815 水 分 析 化 学 全 套 考 研 资 料 ... 2 苏 州 科 技 学 院 829 有 机 化 学 全 套 考 研 资 料 ... 3 苏 州 科 技

More information

浙 江 财 经 大 学 891 统 计 学 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 >......21 浙 江 财 经 大 学 统 计 学 891 全 套 考 研 资 料...22 浙 江 财 经 大 学 高 等 数 学 601 全 套 考 研 资 料...23 2

浙 江 财 经 大 学 891 统 计 学 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 >......21 浙 江 财 经 大 学 统 计 学 891 全 套 考 研 资 料...22 浙 江 财 经 大 学 高 等 数 学 601 全 套 考 研 资 料...23 2 浙 江 财 经 大 学 822 管 理 学 全 套 考 研 资 料 ......3 浙 江 财 经 大 学 管 理 学 (822) 全 套 考 研 资 料...3 浙 江 财 经 大 学 671 法 学 综 合 一 全 套 考 研 资 料 ......4 浙 江 财 经 大 学 法 学 综 合 一 ( 法 理 学 宪

More information

太 原 科 技 大 学 811 西 方 哲 学 史 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 1-1 本 套 资 料 没 真 题 注 : 若 考 前 收 集 到 最 新 考 研 真 题, 我 们 将 免 费 邮 件 发 送 给 购 买 资 料 的 考 生, 若 考 生 自

太 原 科 技 大 学 811 西 方 哲 学 史 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 1-1 本 套 资 料 没 真 题 注 : 若 考 前 收 集 到 最 新 考 研 真 题, 我 们 将 免 费 邮 件 发 送 给 购 买 资 料 的 考 生, 若 考 生 自 太 原 科 技 大 学 811 西 方 哲 学 史 全 套 考 研 资 料 ... 2 太 原 科 技 大 学 836 运 筹 学 全 套 考 研 资 料 ... 2 太 原 科 技 大 学 835 管 理 学 全 套 考 研 资 料 ... 3 太 原 科 技 大 学 612

More information

鲁 东 大 学 702 普 通 心 理 学 ( 含 发 展 心 理 学 ) 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 普 通 心 理 学 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大

鲁 东 大 学 702 普 通 心 理 学 ( 含 发 展 心 理 学 ) 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 普 通 心 理 学 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大 鲁 东 大 学 702 普 通 心 理 学 ( 含 发 展 心 理 学 ) 全 套 考 研 资 料 ......2 鲁 东 大 学 813 量 子 力 学 全 套 考 研 资 料 ......2 鲁 东 大 学 816 有 机 化 学 全 套 考 研 资 料 ......3

More information

Microsoft Word - 27-1司仲敖.doc

Microsoft Word - 27-1司仲敖.doc 國 立 臺 北 大 學 中 國 語 文 學 系 2007 年 10 月 第 三 屆 中 國 文 哲 之 當 代 詮 釋 學 術 研 討 會 會 前 論 文 集 第 1~24 頁 葉 榮 鐘 先 生 早 年 文 集 析 探 : 葉 氏 之 文 學 觀 國 立 台 北 大 學 司 仲 敖 摘 要 葉 榮 鐘 先 生 是 日 治 前 後 出 生 的 二 世 文 人, 漢 文 日 文 俱 佳 因 自 幼 接

More information

海 军 大 连 舰 艇 学 院 807 有 机 化 学 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 有 机 化 学 笔 记, 此 笔 记 为 高 分 研 究 生 复 习 所 用, 借 助 此 笔 记 可 以 大 大 提 高 复 习 效 率, 把 握 报 考 院 校 2

海 军 大 连 舰 艇 学 院 807 有 机 化 学 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 有 机 化 学 笔 记, 此 笔 记 为 高 分 研 究 生 复 习 所 用, 借 助 此 笔 记 可 以 大 大 提 高 复 习 效 率, 把 握 报 考 院 校 2 海 军 大 连 舰 艇 学 院 807 有 机 化 学 全 套 考 研 资 料 ......2 海 军 大 连 舰 艇 学 院 804 自 动 控 制 全 套 考 研 资 料 ......2 海 军 大 连 舰 艇 学 院 806 理 论 力 学 全 套 考 研 资 料 ......2

More information

重 庆 邮 电 大 学 数 据 结 构 802 初 试 内 部 精 华 资 料 1-1 数 据 结 构 2007, 暂 无 答 案 2-1 考 研 复 习 规 划 指 导 全 年 专 业 课 复 习 计 划, 指 导 考 生 科 学 时 间 分 配, 提 高 备 考 效 率, 免 费 赠 送 2-2

重 庆 邮 电 大 学 数 据 结 构 802 初 试 内 部 精 华 资 料 1-1 数 据 结 构 2007, 暂 无 答 案 2-1 考 研 复 习 规 划 指 导 全 年 专 业 课 复 习 计 划, 指 导 考 生 科 学 时 间 分 配, 提 高 备 考 效 率, 免 费 赠 送 2-2 重 庆 邮 电 大 学 数 据 结 构 802 初 试 内 部 精 华 资 料...2 重 庆 邮 电 大 学 803 计 算 机 网 络 全 套 考 研 资 料 ......2 重 庆 邮 电 大 学 计 算 机 网 络 (803 803) 考 研 内 部 精 华 资 料...3 重 庆 邮 电 大 学 816 运 筹 学 全 套 考 研 资 料

More information

盐 田 区 2015 年 社 会 建 设 行 动 计 划 2015 年 是 全 面 深 化 改 革 的 关 键 之 年 全 面 推 进 依 法 治 区 的 开 局 之 年, 也 是 十 二 五 规 划 的 收 官 之 年 十 三 五 规 划 的 谋 划 之 年 结 合 省 市 年 度 社 会 工 作

盐 田 区 2015 年 社 会 建 设 行 动 计 划 2015 年 是 全 面 深 化 改 革 的 关 键 之 年 全 面 推 进 依 法 治 区 的 开 局 之 年, 也 是 十 二 五 规 划 的 收 官 之 年 十 三 五 规 划 的 谋 划 之 年 结 合 省 市 年 度 社 会 工 作 中共深圳市盐田区委办公室 深盐办 2015 3 号 中共深圳市盐田区委办公室 深圳市盐田区 人民政府办公室关于印发 盐田区 2015 年 社会建设行动计划 的通知 各街道党工委 办事处 区委各部委办 区直各单位 区各人 民团体 驻盐各单位 区属各企业 现将 盐田区 2015 年社会建设行动计划 印发给你们 请 认真组织实施 中共深圳市盐田区委办公室 深圳市盐田区人民政府办公室 2015 年 5 月

More information

喜 临 门 家 具 股 份 有 限 公 司 2016 年 第 二 次 临 时 股 东 大 会 会 议 议 程 会 议 召 集 人 : 公 司 董 事 会 现 场 会 议 时 间 :2016 年 6 月 16 日 ( 星 期 五 ) 下 午 14 时 现 场 会 议 地 点 : 浙 江 省 绍 兴 市

喜 临 门 家 具 股 份 有 限 公 司 2016 年 第 二 次 临 时 股 东 大 会 会 议 议 程 会 议 召 集 人 : 公 司 董 事 会 现 场 会 议 时 间 :2016 年 6 月 16 日 ( 星 期 五 ) 下 午 14 时 现 场 会 议 地 点 : 浙 江 省 绍 兴 市 喜 临 门 家 具 股 份 有 限 公 司 2016 年 第 二 次 临 时 股 东 大 会 会 议 资 料 二 一 六 年 六 月 一 十 六 日 喜 临 门 家 具 股 份 有 限 公 司 2016 年 第 二 次 临 时 股 东 大 会 会 议 议 程 会 议 召 集 人 : 公 司 董 事 会 现 场 会 议 时 间 :2016 年 6 月 16 日 ( 星 期 五 ) 下 午 14 时 现

More information

关于调整可充抵保证金证券的通知(2012-06-18)

关于调整可充抵保证金证券的通知(2012-06-18) 关 于 标 的 证 券 权 益 的 通 知 日 期 :2016-05-05 市 场 证 券 代 码 证 券 名 称 信 息 类 型 信 息 内 容 深 圳 000543 皖 能 电 力 股 东 大 会 股 权 登 记 日 深 圳 000905 厦 门 港 务 股 东 大 会 股 权 登 记 日 深 圳 000917 电 广 传 媒 股 东 大 会 股 权 登 记 日 深 圳 000960 锡 业 股

More information

Microsoft Word - Book 2 月下行.doc

Microsoft Word - Book 2 月下行.doc 宇 宙 生 灵 学 修 行 者 第 二 部 月 下 行 ( 注 第 10 一 18 篇 ) 作 者 : 妙 航 玄 昊 玄 鑫 整 理 前 言 这 是 一 本 什 幺 书? 它 是 讲 人 有 没 有 灵 魂? 灵 魂 的 属 性 和 特 征 : 是 光 音 色 三 种 能 量 的 组 成 进 而 论 述 修 行 修 炼 的 本 质 : 是 提 高 大 灵 的 能 量 并 传 出 绝 世 功 法,

More information

Microsoft Word - Book 11 人道行.doc

Microsoft Word - Book 11 人道行.doc 宇 宙 生 靈 學 修 行 者 第 十 一 部 人 道 行 ( 疏 第 二 十 七 篇 二 三 五 集 二 四 三 集 合 訂 本 ) 作 者 : 妙 航 玄 昊 玄 鑫 理 整 目 錄 第 二 三 五 集 人 類 天 敵 第 二 三 六 集 唯 我 獨 尊 第 二 三 七 集 人 天 合 第 二 三 八 集 天 羅 地 網 第 二 三 九 集 安 居 度 日 第 二 四 0 集 風 流 一 代 第

More information

山 东 财 经 大 学 431 金 融 学 综 合 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 金 融 学 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大 大 提 高 复 习 2-3 金

山 东 财 经 大 学 431 金 融 学 综 合 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 金 融 学 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大 大 提 高 复 习 2-3 金 山 东 财 经 大 学 431 金 融 学 综 合 全 套 考 研 资 料 ......2 山 东 财 经 大 学 802 管 理 学 全 套 考 研 资 料 ......2 山 东 财 经 大 学 714 马 克 思 主 义 基 本 原 理 概 论 全 套 考 研 资 料 ......3

More information

证券代码:600893 证券简称:航空动力 公告编号:2011临-【】

证券代码:600893   证券简称:航空动力  公告编号:2011临-【】 证 券 代 码 :600038 证 券 简 称 : 哈 飞 股 份 临 :2012-33 哈 飞 航 空 工 业 股 份 有 限 公 司 关 于 召 开 2013 年 第 一 次 临 时 股 东 大 会 的 通 知 本 公 司 本 董 事 公 会 司 及 董 全 事 体 董 会 事 及 保 全 证 体 本 董 公 告 事 内 保 容 证 不 本 存 公 在 任 告 何 内 虚 容 假 不 记 存 载

More information

Microsoft Word - 【預官_士_考選歷屆試題86~100】.doc

Microsoft Word - 【預官_士_考選歷屆試題86~100】.doc 預 官 ( 士 ) 考 選 歷 屆 試 題 86~100 以 下 資 料料 均 為 網 路 上 搜 尋 整 理 的, 若若 有 錯 誤 之 處, 煩 請 告 知, 謝 謝! 86 ( )1. 下 列列 何 者 非 孫 子 兵 法 的 戰 略略 原 則? A 兵 者, 詭 道 也 B 兵 貴 勝, 不不 貴 久 C 勝 兵 先 戰, 而 後 求 勝 D 上 兵 伐 謀, 其 下 攻 城 ( )4. 韓

More information

Microsoft Word - _二_-1-2D研習講義-孫藝玨.doc

Microsoft Word - _二_-1-2D研習講義-孫藝玨.doc 子 魚 作 文 教 學 流 程 與 實 務 文 / 子 魚 1 第 一 節 寫 日 記 一 說 明 : ( 一 ) 日 記 要 領 : 一 日 只 寫 一 件 事, 範 圍 縮 小, 比 較 好 回 想 切 割 生 活, 從 一 天 當 中 設 定 一 個 地 點 時 間, 將 發 生 的 事 情 寫 下 來 印 象 深 刻 做 記 錄 一 件 小 事, 都 值 得 寫 因 為 細 微 末 節 能

More information

zt

zt 10 257 61 292 15 25 90 266 274 75 182 1 16 182 173 172 1 4243 253 61 94 63 185 9 245 348 7 323437 173 100 4 1 176 273 173 17 3 17 10 9 35 59 63 163164197 1959 1 1980 5 4 189 13 4 5 30 76 6 36 274 21

More information

(8) () (8) () (15) () (19) () (28) (36) () (36) () (43) () (49) (57) () (57) () (66) () (75) (86) () (86) () (94) () (99) ( 104) () ( 104) () ( 114) ( 121) () ( 121) ( 1) 1 ) ( 129) () ( 135) ( 142) ()

More information

Microsoft Word - Book 3 巫山行.doc

Microsoft Word - Book 3 巫山行.doc 宇 宙 生 靈 學 修 行 者 第 三 部 巫 山 行 ( 第 十 九 篇 一 六 三 集 至 一 七 一 集 合 訂 本 ) 作 者 : 妙 航 玄 昊 玄 鑫 整 理 目 錄 第 一 六 三 集 神 女 無 恙 第 一 六 四 集 旅 途 人 生 第 一 六 五 集 天 蒼 野 茫 第 一 六 六 集 虛 空 碧 影 第 一 六 七 集 青 潭 連 天 第 一 六 八 集 碧 霞 擁 日 第 一

More information

一、银行结售汇业务

一、银行结售汇业务 国 际 收 支 业 务 办 事 指 南 一 银 行 结 售 汇 业 务...2 ( 一 ) 银 行 即 期 结 售 汇 业 务 市 场 准 入 和 退 出... 2 ( 二 ) 银 行 经 营 即 期 结 售 汇 业 务 机 构 信 息 变 更 指 南... 3 ( 三 ) 人 民 币 与 外 汇 衍 生 产 品 业 务 市 场 准 入... 5 ( 四 ) 合 作 办 理 远 期 结 售 汇 业

More information

田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田

田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田有關田 第 六 章 不 動 產 廠 房 及 設 備 第 六 章 不 動 產 廠 房 及 設 備 25 1 各 項 不 動 產 廠 房 及 設 備 之 成 本 認 列 [6-2] 購 買 擴 建 廠 房 之 建 地 及 機 器 設 備, 相 關 的 各 項 支 出 如 下, 試 計 算 土 地 房 屋 及 建 築 與 機 器 設 備 之 成 本 支 出 內 容 土 地 成 本 房 屋 及 建 築 成 本 機

More information

<4D6963726F736F667420576F7264202D20313030BEC7A67E2DB5A7B8D52DBB79A4E5AFE0A44FB4FAC5E7BEE3A658A5FE2E646F63>

<4D6963726F736F667420576F7264202D20313030BEC7A67E2DB5A7B8D52DBB79A4E5AFE0A44FB4FAC5E7BEE3A658A5FE2E646F63> 第 1 頁, 共 5 頁 一 基 本 能 力 : 共 25 題, 每 題 2 分, 合 計 50 分, 答 錯 不 倒 扣 請 於 答 案 卷 ( 卡 ) 上 依 題 號 作 答 1. 甲 晉 乘 楚 杌 :ㄔㄥˊ; 乙 贗 品 :ㄧㄢˋ; 丙 侷 促 一 隅 :ㄡˇ; 丁 裨 海 紀 遊 :ㄅㄞˋ 上 列 中 字 詞 的 讀 音, 何 者 正 確? (A) 甲 (B) 乙 (C) 丙 (D) 丁

More information

第 一 部 分 目 录 销 售 管 理 规 范 汇 编... 5 Ⅰ 销 售 资 格 管 理 篇...5 1.1 关 于 保 险 公 司 销 售 人 员 资 格 管 理 的 规 定... 5 1.2 关 于 银 邮 代 理 机 构 代 理 资 格 管 理 的 规 定... 6 1.3 关 于 银 邮

第 一 部 分 目 录 销 售 管 理 规 范 汇 编... 5 Ⅰ 销 售 资 格 管 理 篇...5 1.1 关 于 保 险 公 司 销 售 人 员 资 格 管 理 的 规 定... 5 1.2 关 于 银 邮 代 理 机 构 代 理 资 格 管 理 的 规 定... 6 1.3 关 于 银 邮 人 身 保 险 公 司 销 售 管 理 规 范 汇 编 (2012 版 ) 前 言 为 便 于 人 身 保 险 公 司 管 理 人 员 销 售 人 员 了 解 掌 握 销 售 管 理 环 节 相 关 规 定, 提 高 人 身 保 险 公 司 从 业 人 员 的 法 律 素 质, 进 一 步 做 好 人 身 保 险 销 售 误 导 综 合 治 理 工 作, 切 实 保 护 保 险 消 费 者 合 法

More information

ttian

ttian 3 3 3 3 3 3 3 3 3 1917 3 3 3 3 3 3 3 3 3 3 < > < > 1923 3 3 1923 5 6 3 3 3 < > 3 3 3 3 3 3 3 3 3 3 3 < > 3 3 3 < >

More information

国 家 文 件 关 部 门 制 定 并 实 施 与 当 地 经 济 发 展 水 平 和 校 车 服 务 需 求 相 适 应 的 校 车 服 务 方 案, 统 一 领 导 组 织 协 调 有 关 部 门 履 行 校 车 安 全 管 理 职 责 县 级 以 上 地 方 人 民 政 府 教 育 公 安 交

国 家 文 件 关 部 门 制 定 并 实 施 与 当 地 经 济 发 展 水 平 和 校 车 服 务 需 求 相 适 应 的 校 车 服 务 方 案, 统 一 领 导 组 织 协 调 有 关 部 门 履 行 校 车 安 全 管 理 职 责 县 级 以 上 地 方 人 民 政 府 教 育 公 安 交 国家文件 中华人民共和国国务院令 第617号 校车安全管理条例 已经2012年3月28日国务院第197次常务会议通过 现予公 布 自公布之日起施行 总理 温家宝 二 一二年四月五日 校车安全管理条例 第一章 总 则 第一条 为了加强校车安全管理 保障 乘坐校车学生的人身安全 制定本条例 第二条 本条例所称校车 是指依照本 条例取得使用许可 用于接送接受义务教育 的学生上下学的7座以上的载客汽车 接送小学生的校车应当是按照专用校车

More information

3 3 3 3 3 3 3 3 3 1917 3 3 3 3 3 3 3 3 3 3 < > < > 1923 3 3 1923 5 6 3 3 3 < > 3 3 3 3 3 3 3 3 3 3 3 < > 3 3 3 < >

More information

Microsoft Word - 台東縣文學.doc

Microsoft Word - 台東縣文學.doc 台 東 縣 文 學 研 究 96472010 台 文 碩 一 李 詩 瑩 台 東 縣 簡 介 清 光 緒 元 年 (1875) 於 後 山 番 界 設 卑 南 廳, 廳 治 在 寶 桑 ( 即 今 之 台 東 ) 光 緒 13 年 台 灣 建 省, 因 台 東 地 理 位 置 位 於 台 灣 東 部, 遂 改 卑 南 廳 為 台 東 直 隸 州 日 治 時 期 隸 台 南 縣 設 台 東 支 廳,

More information

第 1 頁 C97131 第 一 部 分 : 選 擇 題 ( 佔 54 分 ) 一 單 選 題 ( 佔 36 分 ) 說 明 : 第 1 題 至 第 18 題, 每 題 選 出 一 個 最 適 當 的 選 項, 標 示 在 答 案 卡 之 選 擇 題 答 案 區 每 題 答 對 得 2 分, 答 錯

第 1 頁 C97131 第 一 部 分 : 選 擇 題 ( 佔 54 分 ) 一 單 選 題 ( 佔 36 分 ) 說 明 : 第 1 題 至 第 18 題, 每 題 選 出 一 個 最 適 當 的 選 項, 標 示 在 答 案 卡 之 選 擇 題 答 案 區 每 題 答 對 得 2 分, 答 錯 C97131( 考 ( 一 )97-003) 大 學 入 學 考 試 中 心 學 科 能 力 測 驗 研 究 用 試 卷 - 作 答 注 意 事 項 - 考 試 時 間 :40 分 鐘 ( 本 卷 未 含 非 選 擇 題 ) 作 答 方 式 : 選 擇 題 用 2B 鉛 筆 在 答 案 卡 上 作 答, 修 正 時 應 以 橡 皮 擦 拭, 切 勿 使 用 修 正 液 祝 考 試 順 利 本 試 卷

More information

<4D6963726F736F667420576F7264202D203630303538325F32303134303832385F355FCCECB5D8BFC6BCBCB5DACEE5BDECB6ADCAC2BBE1B5DAB6FEB4CEBBE1D2E9BEF6D2E9B9ABB8E62E646F6378>

<4D6963726F736F667420576F7264202D203630303538325F32303134303832385F355FCCECB5D8BFC6BCBCB5DACEE5BDECB6ADCAC2BBE1B5DAB6FEB4CEBBE1D2E9BEF6D2E9B9ABB8E62E646F6378> 证 券 代 码 :600582 证 券 简 称 : 天 地 科 技 编 号 : 临 2014-026 号 天 地 科 技 股 份 有 限 公 司 第 五 届 董 事 会 第 二 次 会 议 决 议 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 的 真 实 准 确 和 完 整, 对 公 告 的 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏 负 连 带 责 任

More information

第 1 頁 C97232 第 一 部 分 : 選 擇 題 ( 佔 55 分 ) 一 單 選 題 ( 佔 34 分 ) 說 明 : 第 1 至 第 17 題, 每 題 選 出 一 個 最 適 當 的 選 項, 劃 記 在 答 案 卡 之 選 擇 題 答 案 區 每 題 答 對 得 2 分, 答 錯 或

第 1 頁 C97232 第 一 部 分 : 選 擇 題 ( 佔 55 分 ) 一 單 選 題 ( 佔 34 分 ) 說 明 : 第 1 至 第 17 題, 每 題 選 出 一 個 最 適 當 的 選 項, 劃 記 在 答 案 卡 之 選 擇 題 答 案 區 每 題 答 對 得 2 分, 答 錯 或 C97232( 考 ( 一 )97-003) 大 學 入 學 考 試 中 心 指 定 科 目 考 試 研 究 用 試 卷 卷 2 - 作 答 注 意 事 項 - 考 試 時 間 :80 分 鐘 作 答 方 式 : 選 擇 題 用 2B 鉛 筆 在 答 案 卡 上 作 答, 修 正 時 應 以 橡 皮 擦 拭, 切 勿 使 用 修 正 液 非 選 擇 題 用 黑 色 或 藍 色 原 子 筆, 在 非

More information

蘇轍〈黃州快哉亭記〉析論

蘇轍〈黃州快哉亭記〉析論 蘇 轍 黃 州 快 哉 亭 記 析 論 撰 寫 人 : 程 美 珍 老 師 壹 前 言 翻 閱 中 國 古 典 文 學 之 散 文 史, 宋 代 文 學 可 謂 最 為 燦 爛 之 扉 頁 ; 而 論 及 古 代 散 文 之 雋, 必 提 唐 宋 古 文 八 大 家 此 八 大 家 中, 除 了 韓 愈 柳 宗 元 為 唐 代 文 人, 餘 者 皆 宋 朝 文 人, 而 蘇 氏 父 子 三 人 更

More information

<4D6963726F736F667420576F7264202D20BBA6CBC9BDCCC8CBA1B232303130A1B3313338BAC5B8BDBCFE2E646F63>

<4D6963726F736F667420576F7264202D20BBA6CBC9BDCCC8CBA1B232303130A1B3313338BAC5B8BDBCFE2E646F63> 松 江 区 教 育 系 统 事 业 单 位 岗 位 设 置 管 理 实 施 办 法 根 据 上 海 市 事 业 单 位 岗 位 设 置 管 理 实 施 办 法 ( 沪 委 办 发 2009 40 号 ) 上 海 市 松 江 区 事 业 单 位 岗 位 设 置 管 理 工 作 实 施 方 案 ( 沪 松 人 社 2010 56 号 ) 以 及 上 海 市 教 育 委 员 会 上 海 市 人 力 资 源

More information

准 尧 角 色 定 位 尧 存 在 周 期 形 态 和 方 式 等 角 度 与 传 统 媒 介 环 境 进 行 比 较 袁 分 析 了 网 络 传 播 中 野 意 见 领 袖 冶 在 分 散 而 微 尧 重 局 部 事 实 细 节 真 实 尧 非 层 级 去 权 力 化 等 方 面 的 形 态 特

准 尧 角 色 定 位 尧 存 在 周 期 形 态 和 方 式 等 角 度 与 传 统 媒 介 环 境 进 行 比 较 袁 分 析 了 网 络 传 播 中 野 意 见 领 袖 冶 在 分 散 而 微 尧 重 局 部 事 实 细 节 真 实 尧 非 层 级 去 权 力 化 等 方 面 的 形 态 特 2011 年 传 播 学 理 论 前 沿 概 述 石 雅 洁 李 志 强 ( 新 闻 系 袁 上 海 201499) 揖 摘 要 铱 本 文 通 过 对 2011 年 中 国 传 播 学 基 础 理 论 研 究 基 本 状 况 的 考 察 袁 梳 理 了 从 控 制 论 传 统 尧 话 语 和 符 号 尧 社 会 心 理 学 尧 社 会 文 化 学 视 角 下 的 传 播 学 理 论 研 究 到 象

More information

一 緒 論 ( 一 ) 研 究 動 機 及 目 的 中 國 唐 代 為 佛 教 發 展 輝 煌 時 期, 其 中 禪 宗 也 是 當 時 鼎 盛 流 行 的 宗 派 之 一 本 文 主 要 在 探 討 馬 祖 道 一 (709~788, 以 下 簡 稱 馬 祖 ) 所 傳 承 的 洪 州 禪 ( 又

一 緒 論 ( 一 ) 研 究 動 機 及 目 的 中 國 唐 代 為 佛 教 發 展 輝 煌 時 期, 其 中 禪 宗 也 是 當 時 鼎 盛 流 行 的 宗 派 之 一 本 文 主 要 在 探 討 馬 祖 道 一 (709~788, 以 下 簡 稱 馬 祖 ) 所 傳 承 的 洪 州 禪 ( 又 洪 州 禪 法 之 思 想 略 探 以 馬 祖 道 一 與 百 丈 懷 海 為 主 黃 姵 馨 圓 光 佛 學 研 究 所 三 年 級 摘 要 洪 州 禪 由 唐 代 馬 祖 道 一 (709~788, 以 下 簡 稱 馬 祖 ) 禪 師 所 創, 其 禪 法 特 色 是 禪 師 在 接 引 參 禪 者 時 運 用 活 潑 又 富 有 教 育 義 涵 的 動 作, 讓 他 們 當 下 有 所 體 悟,

More information

由 于 企 业 的 经 营 活 动 具 有 内 在 不 确 定 性, 某 些 财 务 报 表 项 目 不 能 精 确 计 量, 只 能 进 行 估 计 正 是 由 于 这 种 不 确 定 性, 在 会 计 实 务 中, 很 多 财 务 报 表 舞 弊 都 与 会 计 估 计 相 关 对 于 注 册

由 于 企 业 的 经 营 活 动 具 有 内 在 不 确 定 性, 某 些 财 务 报 表 项 目 不 能 精 确 计 量, 只 能 进 行 估 计 正 是 由 于 这 种 不 确 定 性, 在 会 计 实 务 中, 很 多 财 务 报 表 舞 弊 都 与 会 计 估 计 相 关 对 于 注 册 附 件 5: 中 国 注 册 会 计 师 审 计 准 则 问 题 解 答 第 11 号 会 计 估 计 ( 征 求 意 见 稿 ) 问 题 清 单 : 一 注 册 会 计 师 在 审 计 会 计 估 计 时, 哪 些 迹 象 表 明 可 能 存 在 管 理 层 偏 向? 二 针 对 基 于 重 大 假 设 作 出 的 会 计 估 计, 注 册 会 计 师 可 以 从 哪 些 方 面 考 虑 相 关

More information

< > 1978 6 1958 3 1963 11 1960 1961 4 1974 1 1972 1 1959 1959 6 1959 10 103 1961 5 1961 11 1973 7 1990 1 1974 5 1972 3 1990 1 1991 5 1985 6 1980 4 1986 5 1964 1 1985 3 1977 3 1961

More information

红塔证券股份有限公司关于

红塔证券股份有限公司关于 红 塔 证 券 股 份 有 限 公 司 关 于 武 汉 农 尚 环 境 股 份 有 限 公 司 首 次 公 开 发 行 股 票 并 在 创 业 板 上 市 发 行 保 荐 工 作 报 告 保 荐 人 ( 主 承 销 商 ): 红 塔 证 券 股 份 有 限 公 司 中 国 证 券 监 督 管 理 委 员 会 : 红 塔 证 券 股 份 有 限 公 司 ( 以 下 简 称 红 塔 证 券 保 荐 机

More information

2. 国 营 企 业 实 行 劳 动 合 同 制 暂 行 规 定 和 国 营 企 业 招 用 工 人 暂 行 规 定 1986 年 7 月 12 日, 国 务 院 发 布 国 营 企 业 实 行 劳 动 合 同 制 暂 行 规 定 和 国 营 企 业 招 用 工 人 暂 行 规 定 ( 国 发 19

2. 国 营 企 业 实 行 劳 动 合 同 制 暂 行 规 定 和 国 营 企 业 招 用 工 人 暂 行 规 定 1986 年 7 月 12 日, 国 务 院 发 布 国 营 企 业 实 行 劳 动 合 同 制 暂 行 规 定 和 国 营 企 业 招 用 工 人 暂 行 规 定 ( 国 发 19 我 院 劳 动 合 同 制 工 人 相 关 政 策 研 究 ( 成 都 文 献 情 报 中 心 综 合 办 公 室 魏 尉 ) 劳 动 合 同 制 工 人 ( 以 下 简 称 合 同 工 ) 是 新 中 国 劳 动 用 工 史 上 的 一 个 特 殊 的 群 体, 出 现 在 上 世 纪 80 年 代 在 合 同 工 出 现 之 前, 机 关 企 事 业 单 位 没 有 与 员 工 签 合 同 的

More information