PowerPoint 演示文稿

Size: px
Start display at page:

Download "PowerPoint 演示文稿"

Transcription

1 单总线温度传感器驱动 王安然 STEP FPGA

2 DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动

3 DS18B20Z 配置

4 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 :

5 DS18B20Z 指令

6 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册 ), 不同的功能需求对应不同寄存器配置, 本设计执行的操作案例如下

7 通信总线对比 SPI 总线 I2C 总线

8 单总线通信 vcc 单总线连接方式 FPGA DS18B20Z 单根总线通信需要考虑多个因素 : 双向通信, 分时收发, 两个设备收发控制 ( 不能同时发数据 ) 通信速率, 收发设备约定好数据传输的速率, 是的双方吞吐率一致通信同步处理

9 双向端口设计 FPGA 管脚模型如下 : 当端口输出时,control 端控制三态门导通,DataBus 状态受 DataOut 控制当端口输入时,control 端控制三态门高阻,FPGA 不能驱动 DataBus, DataBus 状态受外设电路控制, 并通过 DataIn 端输入

10 软件复位时序控制 软件复位, 需要主机发送低电平, 持续至少 480us 时间, 然后释放单总线, 经过至少 60us 时间, 主机采样单总线状态, 判断温度传感器的响应状态

11 软件复位实现 INIT:begin if(cnt_init >= 3'd6) cnt_init <= 1'b0; else cnt_init <= cnt_init + 1'b1; case(cnt_init) 3'd0: begin one_wire_buffer <= 1'b0; end 3'd1: begin num_delay <= 20'd500;state <= DELAY;state_back <= INIT; end 3'd2: begin one_wire_buffer <= 1'bz; end 3'd3: begin num_delay <= 20'd100;state <= DELAY;state_back <= INIT; end 3'd4: begin if(one_wire) state <= IDLE; else state <= INIT; end 3'd5: begin num_delay <= 20'd400;state <= DELAY;state_back <= INIT; end 3'd6: begin state <= MAIN; end default: state <= IDLE; endcase end

12 收发时序控制 主机发送数据 : 控制拉低至少 1us 输出数据至少 60us 释放总线至少 1us 主机接收数据 : 控制拉低至少 1us 主机释放总线 自开始起 15us 内完成数据采样

13 发送数据实现 发送数据时序 6'd1: begin one_wire_buffer <= 1'b0; end 6'd2: begin num_delay <= 20'd2;state <= DELAY;state_back <= WRITE; end 6'd3: begin one_wire_buffer <= data_wr_buffer[0]; end 6'd4: begin num_delay <= 20'd80;state <= DELAY;state_back <= WRITE; end 6'd5: begin one_wire_buffer <= 1'bz; end 6'd6: begin num_delay <= 20'd2;state <= DELAY;state_back <= WRITE; end 接收数据时序 6'd0: begin one_wire_buffer <= 1'b0; end 6'd1: begin num_delay <= 20'd2;state <= DELAY;state_back <= READ; end 6'd2: begin one_wire_buffer <= 1'bz; end 6'd3: begin num_delay <= 20'd10;state <= DELAY;state_back <= READ; end 6'd4: begin temperature_buffer[0] <= one_wire; end 6'd5: begin num_delay <= 20'd55;state <= DELAY;state_back <= READ; end

14 传感器分辨率配置

15 温度编码运算

16 温度传感器数据结构 温度数据运算, 如果温度为正, 有效数据为自身, 如果温度为负, 有效数据为自身的补码 温度运算为有效数据乘以

17 BCD 转码方法 最后将温度值显示在点阵上, 数字系统为二进制数, 不符合人的阅读习惯, 需要将其转换成十进制的形式, 在数字系统一般采用 BCD 码的形式 将二进制数转换成 BCD 码的形式, 采用左移加三的算法 ( 以 8 hff 为例 ): 1 左移要转换的二进制码 1 位 2 左移之后,BCD 码分别置于百位 十位 个位 3 如果移位后所在的 BCD 码列大于或等于 5, 则对该值加 3 4 继续左移的过程直至全部移位完成

18 BCD 转码实现 module bin_to_bcd # ( parameter B_SIZE = 21 ) ( input rst_n, // system reset, active low input [B_SIZE-1:0] bin_code, // binary code output reg [B_SIZE+3:0] bcd_code // bcd code ); 组合逻辑实现使用阻塞赋值语句 reg [2*B_SIZE+3:0] shift_reg; always@(bin_code or rst_n)begin shift_reg= {25'h0,bin_code}; if(!rst_n) bcd_code <= 0; else begin repeat(b_size)//repeat B_SIZE times begin if (shift_reg[24:21] >= 5) shift_reg[24:21] = shift_reg[24:21] + 2'b11; if (shift_reg[28:25] >= 5) shift_reg[28:25] = shift_reg[28:25] + 2'b11; if (shift_reg[32:29] >= 5) shift_reg[32:29] = shift_reg[32:29] + 2'b11; if (shift_reg[36:33] >= 5) shift_reg[36:33] = shift_reg[36:33] + 2'b11; if (shift_reg[40:37] >= 5) shift_reg[40:37] = shift_reg[40:37] + 2'b11; if (shift_reg[44:41] >= 5) shift_reg[44:41] = shift_reg[44:41] + 2'b11; shift_reg = shift_reg << 1; end bcd_code<=shift_reg[45:21]; end end

19 温度运算实现 温度运算为有效数据乘以 , 这里乘以 625, 转码后移动小数点实现除以 // translate temperature_code to real temperature wire [20:0] bin_code = data_out[10:0] * 16'd625; wire [24:0] bcd_code; //Translate binary code to bcd code bin_to_bcd u2 (.rst_n (rst_n ), // system reset, active low.bin_code (bin_code ), // binary code.bcd_code (bcd_code ) // bcd code ); dot_array_driver u3 (.clk (clk ),.rst_n (rst_n ),.data (bcd_code[23:12]), // 保留一位小数.row (row ),.col (col ) );

20 点阵数据更新 点阵显示温度的格式 XX.X, 两次数据之间间隔一个空白页 reg [15:0] dot = {8 h40, 8 h00}; // 小数点字库 reg [63:0] space = {8{8 h00}}; // 空白页字库 reg [7:0] cnt2; clk_800hz or negedge rst_n) if(!rst_n) cnt2 <= 1'b0; else if(cnt2 >= 8'd28) cnt2 <= 1'b0; else if(clk_5hz) cnt2 <= cnt2 + 1'b1; else cnt2 <= cnt2; reg [223:0] mem_r; clk_800hz or negedge rst_n) if(!rst_n) mem_r <= 1'b0; else if(cnt2 == 8'd28) mem_r <= {space,mem[data[11:8]],mem[data[7:4]],dot,mem[data[3:0]]}; else if(clk_5hz) mem_r <= {mem_r[215:0],mem_r[223:216]}; else mem_r <= mem_r;

21 Thanks 扫描二维码 关注小脚丫微信公众号 了解更多 FPGA 知识

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

姓名

姓名 红外线遥控系统 设计 至芯科技教研部 李昭 2017-6-20 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!# $% & $%%% ( )*+,-./00-(11.-. $%! $  # $ % & ( - ) +%23!# $%%% %,.%,! $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! )*+,-./00-(11 !"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% 4 3301 3 & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11.-. & " 2./ $. %% !" #!!"""!"!"!"!" "!!#!#!#!# "!###!!$

More information

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

!! !! ! !! ! ! !!#$% & ()*+, -./!000$ 1-2$##0! 3 ! !! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3 !" #" $%& " (" ) ( !!" #" #$$$! #$$%!# & !" #" $" % !!" #" $" %"! &! &!! &! &! !" #$% #$% &" " (" )" * !!!!!!!!!!!! "!!"!! "!! " # " # " # $ "%

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

2013年度西藏自治区教育厅

2013年度西藏自治区教育厅 附 件 3: 西 藏 自 治 区 国 土 资 源 厅 2016 年 度 部 门 预 算 2016 年 3 月 16 日 1 目 录 第 一 部 分 西 藏 自 治 区 国 土 资 源 厅 概 况 一 主 要 职 能 二 部 门 单 位 构 成 第 二 部 分 西 藏 国 土 资 源 厅 2016 年 度 部 门 预 算 表 一 财 政 拨 款 收 支 总 表 二 一 般 公 共 预 算 支 出 表

More information

實用文格式大全.doc

實用文格式大全.doc (1 (2 (3 (4 (5 (6 (7 (8 (9 (10 1 ( ( ( ( [ ( ] [ ( ]+ [ ] ( ( 2001 6 2 2 2 2 3 ( ( ( (? (1 (2 (3 (4 ( 2002 ( 1999 ( 2001 6 6 4, 2 4 4 5 ( 1 1 2 1 2 ( ( _ - - x x x _ ( 1999 8 3 1 1 3 1 8 1 xxx 1 1 1 2

More information

个 小 小 的 乡 下 人 木 匠 的 儿 子, 竟 然 有 这 么 大 的 力 量 其 实 就 是 这 点, 祂 活 出 来 的 那 种 爱, 是 世 界 上 没 有 的 祂 活 出 来 的 爱 是 世 界 上 的 人 都 需 要 的, 但 却 是 人 人 在 这 个 世 界 上 都 得 不 到

个 小 小 的 乡 下 人 木 匠 的 儿 子, 竟 然 有 这 么 大 的 力 量 其 实 就 是 这 点, 祂 活 出 来 的 那 种 爱, 是 世 界 上 没 有 的 祂 活 出 来 的 爱 是 世 界 上 的 人 都 需 要 的, 但 却 是 人 人 在 这 个 世 界 上 都 得 不 到 人 间 有 真 爱 在 国 庆 假 期 的 时 候, 我 正 在 感 恩 堂 参 加 祷 告 会, 忽 然 一 个 十 多 年 没 有 见 面 的 同 学 打 我 电 话, 说 见 见 我 原 来 他 失 恋 了, 和 他 女 朋 友 吹 了 他 可 能 考 虑 到 我 已 经 结 过 婚, 刚 好 也 在 上 海, 就 想 联 系 我 他 说 他 女 朋 友 把 他 说 得 一 文 不 值, 让

More information

薛 秦 高 继 宁 宋 明 锁 文 洪 梁 瑞 敏 贾 跃 进 内 蒙 古 自 治 区 (3 人 ) 琪 格 其 图 米 子 良 赵 震 生 辽 宁 省 (8 人 ) 田 素 琴 白 凤 鸣 肖 瑞 崇 黄 恩 申 白 长 川 杨 世 勇 李 敬 林 王 秀 云 吉 林 省 (5 人 ) 赵 继 福

薛 秦 高 继 宁 宋 明 锁 文 洪 梁 瑞 敏 贾 跃 进 内 蒙 古 自 治 区 (3 人 ) 琪 格 其 图 米 子 良 赵 震 生 辽 宁 省 (8 人 ) 田 素 琴 白 凤 鸣 肖 瑞 崇 黄 恩 申 白 长 川 杨 世 勇 李 敬 林 王 秀 云 吉 林 省 (5 人 ) 赵 继 福 2014 年 全 国 名 老 中 医 药 专 家 传 承 工 作 室 建 设 项 目 专 家 名 单 北 京 市 (5 人 ) 王 文 友 张 志 真 王 应 麟 黄 丽 娟 高 才 达 天 津 市 (5 人 ) 马 融 于 志 强 吴 炳 忠 武 连 仲 张 洪 义 河 北 省 (6 人 ) 韩 志 河 张 士 舜 李 淑 荣 刘 玉 洁 刘 启 泉 高 慧 山 西 省 (6 人 ) 北 京 市

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

姓名

姓名 flash 控制 设计 至芯科技教研部 李昭 2017-7-10 联系 QQ:984530288 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析 最 有 利 標 作 業 程 序 實 務 分 析 交 通 部 採 購 稽 核 小 組 陳 秘 書 牧 民 日 期 :101 年 05 月 21 日 大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標

More information

第一章.FIT)

第一章.FIT) 第 一 章 美 丽 触 手 可 及 一 些 天 生 好 动 的 懒 人 袁 根 本 静 不 下 心 去 美 容 院 做 护 理 袁 通 常 总 是 用 一 些 最 野 懒 冶 的 方 法 来 保 养 自 己 遥 比 如 下 飞 机 以 后 感 觉 头 发 很 乱 袁 就 用 手 当 梳 子 随 手 梳 两 下 曰 脸 上 很 干 袁 就 往 脸 上 涂 些 酸 奶 尧 牛 奶 或 者 蜂 蜜 噎 噎

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 7 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 2017 年春 6 Verilog 硬件描述语言 6.1 硬件描述语言简介 6.2 Verilog HDL 与 C 语言 6.3 Verilog 的数据类型 6.4 Verilog 运算符及优先级 6.5 Verilog 模块的结构 6.6 Verilog 设计的层次与风格 6.7 Verilog 行为语句

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

今日要聞

今日要聞 項 次 1 2 3 4 5 今 日 要 聞 101.08.13( 一 ) 新 聞 標 題 資 料 來 源 新 聞 類 別 呈 閱 / 轉 知 新 聞 追 蹤 處 理 100 學 年 達 5.4 萬 多 人 大 專 生 延 畢 惡 化 台 灣 人 才 恐 斷 層 使 用 者 付 費 台 大 政 大 及 清 大 延 畢 生 增 收 學 雜 費 天 堂 不 撤 守 -( 社 論 ) 大 陸 學 歷 甄 試,

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Microsoft Word ZLI12A0

Microsoft Word ZLI12A0 歷 史 考 科 105 年 指 考 試 題 關 鍵 解 析 前 言 今 年 是 第 二 次 以 101 課 綱 為 本 的 指 考 命 題, 根 據 媒 體 報 導, 普 遍 認 為 此 次 試 題 的 難 度 較 高, 主 要 是 因 為 長 篇 閱 讀 的 題 目 非 常 多, 許 多 資 料 以 古 文 的 方 式 呈 現, 或 單 一 試 題 出 現 多 重 資 料 有 老 師 表 示, 部

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

A.1 B.2 C.3 D.4 解 析 : 依 据 已 学 知 识 可 知 吐 蕃 是 藏 族 祖 先 建 立 的 一 个 王 朝 7 世 纪 前 期, 松 赞 干 布 做 了 吐 蕃 的 赞 普, 统 一 了 青 藏 高 原, 定 都 逻 些, 由 此 分 析 可 知 吐 蕃 在 地 理 位 置

A.1 B.2 C.3 D.4 解 析 : 依 据 已 学 知 识 可 知 吐 蕃 是 藏 族 祖 先 建 立 的 一 个 王 朝 7 世 纪 前 期, 松 赞 干 布 做 了 吐 蕃 的 赞 普, 统 一 了 青 藏 高 原, 定 都 逻 些, 由 此 分 析 可 知 吐 蕃 在 地 理 位 置 2014 年 广 东 省 广 州 中 考 真 题 历 史 一 单 项 选 择 题 ( 本 大 题 共 25 小 题, 每 小 题 3 分, 共 75 分 在 每 小 题 列 出 的 四 个 选 项 中, 只 有 一 个 是 正 确 的, 请 把 答 题 卡 上 对 应 题 目 所 选 的 选 项 涂 黑 ) 1.2014 年 5 月 18 日, 集 展 览 科 普 教 育 等 功 能 于 一 体 的

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

目 錄 ~ 升 學 篇 ~ 壹 技 專 校 院 考 招 分 離 制 度 簡 介 2 貳 105 學 年 統 一 入 學 測 驗 重 要 日 程 表 3 參 105 學 年 度 四 技 二 專 統 一 入 學 測 驗 考 試 類 別 與 考 試 科 目 一 覽 表 4 肆 技 專 院 校 招 生 管

目 錄 ~ 升 學 篇 ~ 壹 技 專 校 院 考 招 分 離 制 度 簡 介 2 貳 105 學 年 統 一 入 學 測 驗 重 要 日 程 表 3 參 105 學 年 度 四 技 二 專 統 一 入 學 測 驗 考 試 類 別 與 考 試 科 目 一 覽 表 4 肆 技 專 院 校 招 生 管 國 立 北 港 高 級 農 工 職 業 學 校 104 學 年 度 學 生 進 路 輔 導 手 冊 中 華 民 國 105 年 2 月 輔 導 室 編 印 目 錄 ~ 升 學 篇 ~ 壹 技 專 校 院 考 招 分 離 制 度 簡 介 2 貳 105 學 年 統 一 入 學 測 驗 重 要 日 程 表 3 參 105 學 年 度 四 技 二 專 統 一 入 學 測 驗 考 試 類 別 與 考 試 科

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Microsoft Word - em78 sub program.doc

Microsoft Word - em78 sub program.doc 一 二进制数转换为 ASCⅡ 码 将一个字节的二进制数转换为两位 16 进制数的 ASCⅡ 码 main: mov a,@0x9f ; 二进制数为 0x9f mov 0x30,a ; 二进制数存入 0x30 mov a,@0x02 mov 0x10,a ;0x10 中存放转换次数 mov a,@0x31 mov 0x04,a ;0x04 中为转换后数据存放地址 mov a,0x30 B1: ; 取

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

科学计算的语言-FORTRAN95

科学计算的语言-FORTRAN95 科 学 计 算 的 语 言 -FORTRAN95 目 录 第 一 篇 闲 话 第 1 章 目 的 是 计 算 第 2 章 FORTRAN95 如 何 描 述 计 算 第 3 章 FORTRAN 的 编 译 系 统 第 二 篇 计 算 的 叙 述 第 4 章 FORTRAN95 语 言 的 形 貌 第 5 章 准 备 数 据 第 6 章 构 造 数 据 第 7 章 声 明 数 据 第 8 章 构 造

More information

(Microsoft Word - 013_\261i\245\303\274w_\251w\275Z_p171-182_22.12.2011_R2_20120126)

(Microsoft Word - 013_\261i\245\303\274w_\251w\275Z_p171-182_22.12.2011_R2_20120126) 閱 讀 促 進 寫 作 : 文 學 作 為 學 習 資 源 的 思 考 閱 讀 促 進 寫 作 : 文 學 作 為 學 習 資 源 的 思 考 張 永 德 As they learn to write, they learn to read - the two processes are interdependent. Martin, J.H., 1989. 一 引 論 寫 作 教 學 的 方 法

More information

FPGA 培训专家 FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板

FPGA 培训专家   FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板 FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板, 还有另外一种方式可以得到 ZX-2 开发板, 去论坛申请免费评测开发板 淘宝地址 : http://item.taobao.com/item.htm?spm=a1z10.1.w4004-6568874930.3.kdvq2a&id=382264

More information

VN-Cover

VN-Cover IP Verification 國立中山大學資訊工程學系 黃英哲 nlint - Rule Checker Course Objects Rule Definition nlint Utilizing 中山大學資工系黃英哲 3 Rule Definition Rule Group Coding style Language Construct Design style DFT Simulation

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

发展党员材料填写参考(上网).doc

发展党员材料填写参考(上网).doc 华 中 科 技 大 学 党 员 发 展 材 料 填 写 参 考 中 共 华 中 科 技 大 学 委 员 会 组 织 部 2010 年 3 月 31 日 目 录 1 党 员 发 展 材 料 一 览 表... 1 2 入 党 志 愿 书 填 写 参 考... 2 3 申 请 入 党 积 极 分 子 培 养 考 察 登 记 表 填 写 参 考... 13 4 华 中 科 技 大 学 发 展 党 员 综 合

More information

MVB-1001.DOC

MVB-1001.DOC 20 1.5 10 15 20 25 80 100 CSF 1. 2. 0105 3. 4. 5. 30% 1.5 0.75 1. Visual Basic Visual Basic (A) Visual Basic Enterprise Edition (B) Visual Basic Script Edition (C) Visual Basic Learning Edition (D) Visual

More information

1.加入党组织主要经过哪些程序?

1.加入党组织主要经过哪些程序? 内 部 资 料 注 意 保 存 厦 门 大 学 发 展 党 员 工 作 常 用 文 书 中 共 厦 门 大 学 委 员 会 组 织 部 编 印 2014 年 10 月 4 发 展 党 员 工 作 流 程 图 一 申 请 入 党 二 入 党 积 极 分 子 的 三 发 展 对 象 的 确 定 四 预 备 党 员 的 接 收 五 预 备 党 员 的 教 育 确 定 和 培 养 教 育 和 考 察 考 察

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

台南市立崇明國民中學九十五學年度第一學期第一次模擬考國文科試卷

台南市立崇明國民中學九十五學年度第一學期第一次模擬考國文科試卷 台 南 市 立 崇 明 國 民 中 學 九 十 九 學 年 度 第 一 學 期 三 年 級 第 二 次 模 擬 考 國 文 科 試 卷 範 圍 〆 第 三 冊 命 題 教 師 〆 張 蘭 芳 * 本 試 卷 共 3 張 5 頁 請 將 答 案 以 2B 鉛 筆 直 接 劃 於 電 腦 答 案 卡 上 一 選 擇 題 1. 下 列 中 的 注 音 寫 成 國 字 之 後, 哪 一 組 的 字 形 相

More information

第二章.FIT)

第二章.FIT) 第 你 的 肌 肤 状 况 如 何 钥 你 平 常 所 用 的 护 肤 方 法 正 确 吗 钥 爱 是 女 人 的 天 性 袁 所 以 女 人 们 总 是 想 方 设 法 地 令 自 己 变 更 尧 更 动 人 遥 是 护 肤 方 法 不 当 也 会 造 成 相 反 效 果 的 哦 遥 看 看 我 们 的 懒 人 保 养 大 计 袁 内 容 超 全 尧 超 实 用 的 哦 袁 帮 你 全 面 保 护

More information

STEP-MAX10 V2软件手册

STEP-MAX10 V2软件手册 小脚丫 STEP FPGA STEP 2016/12/7 目录 1. 概述... 2 2. 软件安装... 2 2.1 Quartus Prime 软件下载 :... 2 2.2 Quartus 安装步骤 :... 3 3. 创建第一个工程... 8 3.1 新建工程... 8 3.2 添加设计文件... 12 3.3 管脚约束... 14 3.4 FPGA 加载... 17 4. 仿真工具 Modelsim...

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

Contents 1 简介 ISC 原理示意图 ISC SFR 描述 ISC 步骤 在线更新 外部 SPI FLASH 内部集成 SPI FLASH ISC 实例 FP

Contents 1 简介 ISC 原理示意图 ISC SFR 描述 ISC 步骤 在线更新 外部 SPI FLASH 内部集成 SPI FLASH ISC 实例 FP AstroII ISC 用户指南 Version 1.0 January 2010 Capital Microelectronics, Inc. CME 1 Contents 1 简介...1 2 ISC...1 2.1 原理示意图...2 2.2 ISC SFR 描述...2 2.3 ISC 步骤...3 3 在线更新...3 3.1 外部 SPI FLASH...3 3.2 内部集成 SPI FLASH...4

More information

FPGA 培训专家 FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n

FPGA 培训专家   FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20ns*8=160ns, 高电平持续时间是 80ns, 低电平持续时间是 80ns, 因此可以用 50Mhz 作为计数器的触发时钟, 当从 0 计数到 3

More information

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在 國 二 國 文 範 圍 :B3: 第 二 課 美 猴 王 一 國 字 及 注 音 1. 拱 ㄈㄨˊ 無 違 : 2. 拍 手 稱 ㄧㄤˊ : 3. 詼 ㄒㄧㄝˊ 風 趣 : 4. ㄔㄢˊ 鬥 : 5. 搔 癢 : 6. ㄓㄤ 頭 鼠 目 : 7. 玩 ㄕㄨㄚˇ : 8. 石 竅 : 9. 採 花 ㄇㄧˋ 果 : 10. 長 途 ㄅㄚˊ 涉 : 11. 喜 不 自 勝 : 12. 進 ㄓㄨˋ 水 簾

More information

untitled

untitled Fortran Chapter 7 Subroutine ( ) and Function 7-1 subroution 行 不 行 來 行 The general form of a subroutine is subroutine subroutine_name ( argument_list) (Declaration section) (Execution section) retrun end

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

學 過 程 技 能 中 是 重 要 的 一 環, 雖 然 控 制 變 因 的 課 程 要 進 入 小 學 階 段 才 會 接 觸, 但 我 們 嘗 試 讓 孩 子 在 科 學 遊 戲 中, 察 覺 到 不 同 的 條 件 會 影 響 比 賽 結 果, 進 而 讓 孩 子 把 這 些 條 件 一 一

學 過 程 技 能 中 是 重 要 的 一 環, 雖 然 控 制 變 因 的 課 程 要 進 入 小 學 階 段 才 會 接 觸, 但 我 們 嘗 試 讓 孩 子 在 科 學 遊 戲 中, 察 覺 到 不 同 的 條 件 會 影 響 比 賽 結 果, 進 而 讓 孩 子 把 這 些 條 件 一 一 千 迴 百 轉 見 真 章 ~ 一 個 積 木 角 的 發 現 參 加 組 別 : 幼 稚 園 組 主 要 領 域 : 學 前 教 育 次 要 領 域 : 自 然 與 生 活 科 技 綜 合 活 動 參 賽 者 姓 名 : 謝 淑 美 莊 旭 瑋 張 美 月 李 健 銘 學 校 名 稱 : 基 隆 市 長 興 國 小 附 設 幼 稚 園 教 學 主 題 : 迴 力 鏢 ( 積 木 陀 螺 ) 角 落

More information

Microsoft Word - Sing Fu

Microsoft Word - Sing Fu 作 者 自 序 開 始 營 商 辦 我 在 直 一 至 九 一 七 九 九 年 七 帶 年 了 在 太 身 太 體 檢 孩 查 子 舉 家 醫 從 生 上 告 海 知 遷 由 來 於 香 長 港 期 肝 為 了 生 我 活 的 植 肝 手 病 已 經 非 開 常 始 幸 壞 運 手 術 最 是 多 成 還 功 有 的 三 年 壽 那 命 種 一 九 九 八 年 去 了 美 國 做 了 肝 臟 移 在

More information

untitled

untitled ...1... 1...2... 2... 3... 4... 5...6... 6... 7... 8... 9...11...11... 12... 12...13... 13 ... 13... 14... 15... 16... 18... 19... 20... 20... 21... 22... 22... 23... 23...24... 24... 25... 25... 26...

More information

URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完

URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完 URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完成的操作是 做减运算, 且在结果为负值时转移 URISC 的指令形式如下 : 第一个操作数地址第二个操作数地址运算结果为负时的转移地址由于只有一条指令,

More information

!"!"!"# # $! $!%%& ( )*+, ( - %& - %"./!. - &! " # $ 0("1! 2)3!%%& %.//(( %"% - 4&%.&.55 & 5%% - 5"% - %.15 "%%%"" 6778 # # 999 6:8 :;< => %"% -

!!!# # $! $!%%& ( )*+, ( - %& - %./!. - &!  # $ 0(1! 2)3!%%& %.//(( %% - 4&%.&.55 & 5%% - 5% - %.15 %%% 6778 # # 999 6:8 :;< => %% - !" !"!"!"# # $! $!%%& ( )*+, ( - %& - %"./!. - &! " # - - - $ 0("1! 2)3!%%& %.//(( %"% - 4&%.&.55 & 5%% - 5"% - %.15 "%%%"" 6778 # # 999 6:8 :;< => %"% - 5!%!5511 6778 # # 999 6:8 =?@ =>!%%! 5 " (5( A

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

Go构建日请求千亿微服务最佳实践的副本

Go构建日请求千亿微服务最佳实践的副本 Go 构建 请求千亿级微服务实践 项超 100+ 700 万 3000 亿 Goroutine & Channel Goroutine Channel Goroutine func gen() chan int { out := make(chan int) go func(){ for i:=0; i

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 勞

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 勞 主 題 : 安 心 準 備 生 產 用 物 及 產 後 護 理 調 養 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 勞 累 為 原 則 需 有 充 份

More information

zt

zt ! "!# " $! " %# " #& " && " ( "!)$ "!!# "!$! "!%$ "!#$ "!*# "!! "!($ " +)& " ++! " +$( " +#$ " +*& " +&( " !"#$!"%& ()!"## ()!""* !!"# $%& () *%+,&-./!"# 0.1)2 + 3"%4/!"# 5).++.( 6)2"( 7889 : 77 9;;< !

More information

2 04%; 6 62, , , GDP50162, ( ) 2014, , ; , 9 9 ; 82558, 300/10, 158, 0 57/10 ; 25 73/10 ; 4 68 ( )

2 04%; 6 62, , , GDP50162, ( ) 2014, , ; , 9 9 ; 82558, 300/10, 158, 0 57/10 ; 25 73/10 ; 4 68 ( ) 2015 2020, ( 2009 6 ) ( 2013 40 ), (2015-2020 ) ( 2015 14 ),,, (2015-2020 ) ( ) ( ) 1 7 1,60 ( ) 2014, 2752 38, 2 2 04%; 6 62, 0 4 2014, 13803 81, GDP50162, 462 95 ( ) 2014, 10634 85, 9380 10 ; 335 46,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information