第一章.doc

Size: px
Start display at page:

Download "第一章.doc"

Transcription

1

2

3

4

5 EDA

6 C Pascal Fortran C Reed-Solomen / Reed-Solomen / C C C C C Verilog HDL VHDL HDL C HDL 1) 2) 3) 4) 5) 6) 7) C Verilog HDL C Verilog HDL C Verilog HDL C C Verilog C PLI Verilog-XL C C Verilog HDL C 5

7 Verilog Verilog C C Verilog C Verilog C Verilog C C Verilog C C C C Verilog Verilog C Verilog C Verilog C Verilog C C Verilog C Verilog C Verilog Verilog Verilog C C Verilog C Verilog Verilog C Verilog RTL Verilog C 6

8 C Verilog C Verilog sub-function module, function, task if-then-else if-then-else Case Case {,} begin, end For For While While Break Disable Define Define Int Int Printf monitor, display,strobe C Verilog C Verilog * * / / % %!! && && > > < < >= >= <= <= == ==!=!= ~ ~ & & ^ ^ ~^ ~^ >> >> << <<?:?: if-else C Verilog C Verilog C Verilog 7

9

10 Verilog HDL

11 Verilog HDL s

12 Verilog HDL

13 Verilog HDL VHDL Verilog VITAL

14 Verilog HDL

15 Verilog HDL

16 Verilog HDL

17 Verilog HDL

18

19

20 module block (a,b,c,d); input a,b; output c,d; assign c= a b ; assign d= a & b; endmodule a b c d 19

21

22

23

24 Module Test; wire W; Top T ( ); emdmodule module Top; wire W Block B1 ( ); Block B2 ( ); endmodule Annote Test T module Block; Parameter P = 0; endmodule module Annotate; defparam Test.T.B1.P = 2, Test.T.B2.P = 3; B1 Block endmodule Top B2 Block 23

25

26

27

28

29

30

31

32 ! ~ * / % + - << >> < <= > >= = =!= = = =!= = & ^ ^~ &&? 31

33 b D Q CLK D CLK Q a clk c

34 D CLK Q a b c clk

35

36

37 If (a>b) out1 <=int1; else out1 <=int2;

38

39

40 case 0 1 x z x casez 0 1 x z x casex 0 1 x z x z z z

41

42

43

44

45

46

47

48

49

50

51

52

53

54

55

56

57

58

59

60 File1.v File2.v File1.v `include File2.v A B B A (a) (b) (c)

61

62 file1.v file2.v file3.v `include file2.v... `include file3.v.. `include file3.v `include file2.v

63

64

65

66 assign module ; ~ & input output inputs outputs endmodule A, B, C, D AOI ( A, B, C, D, F ) F F =((A B) (C D)) 65

67 W4 A Sum W1 W5 B FullAdder W3 Cin W2 Count MUX2 M (SEL, A, B, F) reg A, B, SEL; wire F; $monitor (SEL, A,B,,F) ; SEL=0; A=0; B=0; #10 A=1; #10 SEL=1; #10 B=1; 66

68

69

70

71

72 input FF1 FF2 FF3 output Clock

73

74

75

76

77 75

78 76

79 77 1) 2) clr d q clk clr d q clk clr d q clk q0 d0 clr d q clk d3 d2 q2 q3 q1 d1 clrb clk f4 f3 f2 f1

80 78

81 79

82 80

83 81

84 82 DATA[7:0] RST ENA CLK R[7:0] REGISTER ALU_OUT[7:0] LOAD_ACC CLOCK RESET ACCUM[7:0]

85 83 DATA ACCUM CLOCK OPCODE ZERO ALU_OUT RISC_ALU DATA[7:0] ACCUM[7:0] ZERO ALU_OUT[7:0] ALU_CLOCK OPCODE[2:0]

86 84 DATACTRL ALU_OUT[7:0] FETCH MEM_RD CLK2 DATA[7:0] ALU_OUT[7:0] FETCH MEM_RD CLK2 ADDR[4:0] ADDR[4:0] READ MEM_RD WRITE MEM_WR DATA[7:0] DATA[7:0] MEM RAM

87 85 DATA[7:0] RST ENA CLK R[7:0] REGISTER DATA[7:0] LOAD_IR CLOCK RESET OPCODE[2:0] IR_ADDR[4:0]

88 86 ZERO OPCODE[2:0] CLK CLK2 FETCH RESET CONTROL MEM_RD MEM_WR HALT LOAD_IR LOAD_ACC LOAD_PC INC_PC MEM_RD MEM_WR HALT LOAD_IR LOAD_ACC LOAD_PC INC_PC ZERO OPCODE[2:0] CLK CLK2 FETCH RESET

89 87

90 88 COUNTER DATA[4:0] LOAD CLK RST CNT[4:0] PC_ADDR[4:0] IR_ADDR[4:0] LOAD_PC INC_PC RESET

91 89 ADDRMUX PC_ADDR[4:0] IR_ADDR[4:0] FETCH ADDR[4:0] PC_ADDR[4:0] IR_ADDR[4:0] FETCH ADDR[4:0] ALU_CLK CLK CLK2 FETCH CLKGEN ALU_CLOCK CLOCK CLK2 FETCH

92 90 clk clk2 fetch alu_clk

93 91

94 92

95 93

96 Xi Yi Ci-1 Si Ci Xi Yi Si Ci-1 Ci Ci = Xi Yi + Yi Ci-1 + Xi Ci-1 Si = Xi Ci + Yi Ci + Ci-1 Ci + Xi Yi Ci-1 Si Ci XiYi Ci Ci-1 + Gi Gi XiYi Carry-Look-Ahead-Adder 94

97 Y 0 X 0 Y 1 X 1 Y 2 X 2 Y 3 X 3 S0 S1 S2 S3 AP 02 G 0 CB 02 A 1 BP 1 G 1 AC 10 B 0 P 2 G 2 C 2 P 3 CG 23 C -1 C -1 S 2 S 1 0 S 0 P* G* 5.1 P* G* Y 0~3 X 0~3 Y4~7 X4~7 Y 8~11 X 8~11 Y 12~15 X 12~15 S0~3 S4~7 S8~11 S12~15 P* 0 G* 0 C* 0 P* 1 G* 1 C* 1 P* 2 G* 2 C* 2 P* 3 G* 3 C -1 0 P** G** pipe line module add_4( X, Y, sum, C); input [3 : 0] X, Y; output [3: 0] sum; output C; assign {C, Sum } = X + Y; 95

98 endmodule 16 module add_16( X, Y, sum, C); input [15 : 0] X, Y; output [15 : 0] sum; output C; assign {C, Sum } = X + Y; endmodule X : X n-1 X 1 X 0 Y : Y n-1y 1 Y 0 X Y Z 2n Y i X P i : 0 YiXj P i.j = Y i X j X Y 96

99 : X 3 X 2 X 1 X 0 ) : Y 3 Y 2 Y 1 Y 0 Y 0 X 3 Y 0 X 2 Y 0 X 1 Y 0 X 0 Y 1 X 3 Y 1 X 2 Y 1 X 1 Y 1 X 0 Y 2 X 3 Y 2 X 2 Y 2 X 1 Y 2 X 0 Y 3 X 3 Y 3 X 2 Y 3 X 1 Y 3 X 0 Z 7 Z 6 Z 5 Z 4 Z 3 Z 2 Z 1 Z MU 5.4 MU 5.3 MU MU =33 Y 0 X 3 0 Y 0 X 2 0 Y 0 X 1 0 Y 0 X 0 0 M U M U M U M U 0 Y 1 X 3 Y 1 X 2 Y 1 X 1 Y 1 X 0 M U M U M U M U 0 Y 2 X 3 Y 2 X 2 Y 2 X 1 Y 2 X 0 M U M U M U M U 0 Y 3 X 3 Y 3 X 2 Y 3 X 1 Y 3 X 0 M U M U M U M U 0 Z 7 Z 6 Z 5 Z 4 Z 3 Z 2 Z 1 Z

100 Yi Xj Sk Pij C 0 A C0 B Ci C I S Sk MU Y 0 X 3 Y 0 X 2 Y 0 X 1 Y 0 X 0 Y 1 X 3 Y 1 X 2 Y 1 X 1 Y 1 X 0 M U M U M U 0 Y 2 X 3 Y 2 X 2 Y 2 X 1 Y 2 X 0 M U M U M U Y 3 X 3 Y 3 X 2 Y 3 X 1 Y 3 X 0 M U M U M U A 2 B 2 A 1 B 1 A 0 B 0 C 2 C -1 0 Z 7 Z 6 Z 5 Z 4 Z 3 Z 2 Z 1 Z Carry-Save Multiplier =

101 module mult_4( X, Y, Product); input [3 : 0] X, Y; output [7 : 0] Product; assign Product = X * Y; endmodule 8 module mult_8( X, Y, Product); input [7 : 0] X, Y; output [15 : 0] Product; assign Product = X * Y; endmodule 99

102 Verilog HDL module compare_n ( X, Y, XGY, XSY, XEY); input [width-1:0] X, Y; output XGY, XSY, XEY; reg XGY, XSY, XEY; parameter width = 8; ( X or Y ) begin if ( X = = Y ) XEY = 1; else XEY = 0; if (X > Y) XGY = 1; else XGY = 0; // X Y // X Y 1 // X Y 1 if (X < Y) XSY = 1; // X Y 1 else XSY = 0; end endmodule 100

103 101

104 102

105 103

106 104 Sn+1 Cn+1 C-1 Pn+1 Gn+1 an+1 bn+1 Cout (a) n

107 an+1 bn+1 c-1 Pn+1 Gn+1 C -1 Cn+2 Cn+2 C -1 Cn+2 Cn+2 Sn

108 106

109

110 ` 6.1

111 63 109

112

113

114 112

115 113 CLOCK

116 114 Idle Start Stop Clear A/G=0!A A/F=1!Reset /F=0 G=0!Reset /F=0 G=0!Reset!A/F=0 G=1!Reset /F=0 G=0

117 115

118 116

119 117

120 118

121 119

122 120

123 121

124 122

125 123

126 124

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133 1) 2)

136 1) 2) 3) 4) 5) 6) 7) 8) PLI 134

137 135

138 136 q1 q2 q3 d clk

139 137 q3 d clk

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151 1.

154 152

155 153

156 154

157 155

158 156

159 157

160 158

161 159

162 160

163 161

164 162

165 163

166 164

167 165

168 166

169 167

170 168

171 169

172 170

173 171

174 172 CLK CLK1 CLKGE N ALU_CLK FETCH CLK CLK1 ALU_CLK FETCH RESET RESET

175 173 clk clk1 clk2 clk4 fetch alu_clk

176 174

177 175 clk_gen clk1clk2clk4fetchalu_clk DATA[7:0] RST ENA CLK1 opc_iraddrs[15:0] REGISTER DATA[7:0] LOAD_IR CLK1 RESET OPCODE[2:0] IR_ADDR[12:0]

178 176 DATA[7:0] RST ENA CLK1 ACCUM[7:0] ALU_OUT[7:0] LOAD_ACC CLK1 RST ACCUM[7:0]

179 177 DATA[7:0] ACCUM[7:0] ALU_CLOCK OPCODE[2:0] ZERO ALU_OUT[7:0] ALU DATA[7:0] ACCUM[7:0] ZERO ALU_OUT[7:0] ALU_CLOCK OPCODE[2:0]

180 ALU_OUT[7:0] DATACTL_ENA IN[7:0] DATA_ENA DATACTL DATA[7:0] DATA[7:0] PC_ADDR[12 : 0] PC_ADDR[12 : 0] ADDR ADDR[12 : 0] ADDR[12 : 0] IR_ADDR[12 : 0] IR_ADDR[12 : 0] FETCH FETCH 178

181 179 COUNTER IR_ADDR[12 : 0] LOAD CLOCK RST PC_ADDR[12 : 0] PC_ADDR[12 : 0] IR_ADDR[12 : 0] LOAD_PC INC_PC RESET

182 180

183 181 CLK1 OPCODE[2:0] INT_FLAG ENA ENA FETCH RST machinectl CLK1 ZERO ZERO FETCH RST OPCODE[2:0] INT_FLAG INC_PC LOAD_ACC LOAD_PC RD WR LOAD_IR HALT DATACTL_ENA INC_PC LOAD_ACC LOAD_PC MEM_RD MEM_WR LOAD_IR HALT DATACTL_ENA MACHINE

184 182

185 183

186 184

187 185

188 186

189 187

190

191 189 RISC_CPU CLK DATA<7..0> RST HALT RSC RD WR ADDR<12..0> addr<9..0> data<7..0> read ram ena addr<12..0> read rom ena addr<12..0> HALT RD WR ADDR<12..0> ram_sel rom_sel addr_decode write data<7..0> RST DATA<7..0> ADDR<9..0> CLK

192 190

193 191

194 192

195 193

196 194

197 // //address statement 111_00000 // 00 BEGIN: JMP TST_JMP 0011_ _00000 // 02 HLT //JMP did not work at all 0000_ _00000 // 04 HLT //JMP did not load PC, it skipped 0000_ _11000 // 06 JMP_OK: LDA DATA_1 0000_ _00000 // 08 SKZ 0000_ _00000 // 0a HLT //SKZ or LDA did not work 0000_ _11000 // 0c LDA DATA_2 0000_ _00000 // 0e SKZ 0000_ _00000 // 10 JMP SKZ_OK 0001_ _00000 // 12 HLT //SKZ or LDA did not work 0000_ _11000 // 14 SKZ_OK: STO TEMP //store non-zero value in TEMP 0000_ _11000 // 16 LDA DATA_1 0000_ _11000 // 18 STO TEMP //store zero value in TEMP 0000_ _11000 // 1a LDA TEMP 0000_ _00000 // 1c SKZ //check to see if STO worked 0000_ _00000 // 1e HLT //STO did not work 0000_ _11000 // 20 XOR DATA_2 0000_ _00000 // 22 SKZ //check to see if XOR worked 0000_ _00000 // 24 JMP XOR_OK 0010_ _00000 // 26 HLT //XOR did not work at all 0000_ _11000 // 28 XOR_OK: XOR DATA_2 0000_ _00000 // 2a SKZ 0000_ _00000 // 2c HLT //XOR did not switch all bits 0000_ _00000 // 2e END: HLT //CONGRATULATIONS - TEST1 PASSED! 0000_ _00000 // 30 JMP BEGIN //run test again 195

198 111_00000 // 3c TST_JMP: JMP JMP_OK 0000_ _00000 // 3e HLT //JMP is broken // /***************************************************************************************** ** test1.pro ****************************************************************************************** ***/ // //address statement at RAM // 1800 DATA_1: //constant 00(hex) // 1801 DATA_2: //constant FF(hex) // 1802 TEMP: //variable - starts with AA(hex) // /****************************************************************************** *****************************************************************************/ // _11000 // 00 BEGIN: LDA DATA_2 0000_ _11000 // 02 AND DATA_3 0000_ _11000 // 04 XOR DATA_2 0000_ _00000 // 06 SKZ 0000_ _00000 // 08 HLT //AND doesn't work 0000_ _11000 // 0a ADD DATA_1 0000_ _00000 // 0c SKZ 0000_ _00000 // 0e JMP ADD_OK 0001_ _00000 // 10 HLT //ADD doesn't work 0000_ _11000 // 12 ADD_OK: XOR DATA_3 0000_ _11000 // 14 ADD DATA_1 //FF plus 1 makes _ _11000 // 16 STO TEMP 0000_ _11000 // 18 LDA DATA_1 0000_

199 010_11000 // 1a ADD TEMP //-1 plus 1 should make zero 0000_ _00000 // 1c SKZ 0000_ _00000 // 1e HLT //ADD Doesn't work 0000_ _00000 // 20 END: HLT //CONGRATULATIONS - TEST2 PASSED! 0000_ _00000 // 22 JMP BEGIN //run test again 0000_0000 // /***************************************************************************************** ** test2.pro ****************************************************************************************** ***/ // // 1800 DATA_1: //constant 1(hex) // 1801 DATA_2: //constant AA(hex) // 1802 DATA_3: //constant FF(hex) // 1803 TEMP: // // _11000 // 00 LOOP: LDA FN2 //load value in FN2 into accum 0000_ _11000 // 02 STO TEMP //store accumulator in TEMP 0000_ _11000 // 04 ADD FN1 //add value in FN1 to accumulator 0000_ _11000 // 06 STO FN2 //store result in FN2 0000_ _11000 // 08 LDA TEMP //load TEMP into the accumulator 0000_ _11000 // 0a STO FN1 //store accumulator in FN1 0000_ _11000 // 0c XOR LIMIT //compare accumulator to LIMIT 0000_ _00000 // 0e SKZ //if accum = 0, skip to DONE 0000_ _00000 // 10 JMP LOOP //jump to address of LOOP 0000_ _00000 // 12 DONE: HLT //end of program 197

200 0000_0000 // /***************************************************************************************** ** test3.pro ****************************************************************************************** ***/ // // 1800 FN1: //data storage for 1st Fib. No // 1801 FN2: //data storage for 2nd Fib. No // 1802 TEMP: //temproray data storage // 1803 LIMIT: //max value to calculate 144(dec) //

201 199

202 200 1P 5P 6P 7P 8P DATA<7..0> CLK RST 12P 11P RD WR HALT ADDR<12..0> DATA<7..0>\I RD\I WR\I HALT\I ADDR<12..0>\I RSC RISC_CPU RSC

203 201

204 202

205 203

206 204

207 205

208 206

209 207

210 208

211 209

212 210

213 211

214 212 DATA<7..0> ALU_OUT<7..0> ACCUM<7..0> zero alu_clk alu opcode<2..0> data<7..0> opc_iraddr<15..0> ena rst register clk1 data<7..0> accum<7..0> ena accum rst clk1 CLK1 INC_PC ZERO LOAD_ACC FETCH LOAD_PC RST CONTROL RD WR OPCODE<2..0> LOAD_IR HALT DATACTL_ENA In<7..0> data<7..0> datactl data_ena fetch addr<12..0> ir_addr<12..0> adr pc_addr<12..0> ir_addr<12..0> pc_addr<12..0> load clock counter rst clk1fetch clk alu_clk clk_gen DATA<7..0>\I RST\I CLK\I OPCODE<2..> IR_ADDR<12..0> ALU_OUT<7..0> ACCUM<7..0> ZERO OPCODE<2..0> DATA_ENA PC_ADDR<12..0> ADDR<12..0> DATA<7..0>\I HALT\I LOAD_IR IR_ADDR<2..0> WR\I RD\I LOAD_ACC INC_PC LOAD_PC 81 RISC CPU

215 213

216 213

217 214

218 215 S C D R CONVST S C D R S C D R S C D R

219 216

220 217

221 218

222 Verilog HDL

223 220

224 221 tdata_hold_cts;

225 222

226 223

227 224

228 225

229 226

230 227

231 228

232 229

233 230

234 231

235 232

236 233

237 234

238 235

239 236

240 237

241 238

242 *****************************************************************************/ 239

243 240

244 241

245 242

246 243

247 244

248 245

249 246

250 247

251 248

252 249

253 250

254 251

255 252

256 253

257 254

258 255

259 256

260 257

261 258

262 259

263 260

264 261

265 262

266 263

267 264

268 265

269 266

270

271

272

273

274

275

276

277

278

279

280

281

282

283

284

285

286

287

288

289

290

291

292

293

294

295

296 AD AD AD RAM Verilog HDL 8 RAM 8 RAM 11 A/D RAM 292

297 293

298 294

299 295

300 296

301 297

302 XXXXX XXXXXX Synplify Altera FLEX10K FPGA FPGA edf MAX+PLUS II ver. 9.3 edf vo alt_max2.vo. Altera FPGA con1.vo alt_max2.vo con1.v FPGA 298

303 299

304 300

305 301

306 302

307 303

308 304

309 305

310 306

311 307

312 308

313 309

314 310

315 311

316 312

317 313

318 314

319 SRAM SRAM SRAM FIFO FIFO FIFO SRAM FIFO SRAM FIFO SRAM FIFO fifo_rp fifo_wp fifo_rp fifo_rp fifo_wp fifo_wp fifo_rp fifo_wp FIFO fifo_rp fifo_wp FIFO fifo_rp fifo_wp nempty nfull FIFO 292

320 nfull nempty FIFO FIFO SRAM SRAM SRAM WR WR WR SRAM RD RD RD FIFO FIFO SRAM 3FIFO testbench FIFO FIFO FIFO FIFO task FIFO `define FIFO_SIZE 8 `include sram.v `timescale 1ns/1ns //, sram.v module t; reg [7:0] in_data; //FIFO reg fiford,fifowr; //FIFO wire[7:0] out_data; 293

321 wire nfull, nempty; //FIFO reg clk,rst; wire[7:0] sram_data; //SRAM wire[10:0] address; //SRAM wire rd,wr; //SRAM reg [7:0] integer index; data_buf[`fifo_size:0]; // // data_buf // initial clk=0; always #25 clk=~clk; // initial begin fiford=1; fifowr=1; rst=1; #40 rst=0; #42 rst=1; if (nempty) $display($time,"error: FIFO be empty, nempty should be low.\n"); // FIFO index = 0; repeat(`fifo_size) begin end data_buf[index]=$random; write_fifo(data_buf[index]); index = index + 1; if (nfull) $display($time,"error: FIFO full, nfull should be low.\n"); repeat(2) write_fifo($random); #200 // FIFO index=0; read_fifo_compare(data_buf[index]); if (~nfull) $display($time,"error: FIFO not full, nfull should be high.\n"); repeat(`fifo_size-1) begin 294

322 end index = index + 1; read_fifo_compare(data_buf[index]); if (nempty) $display($time,"error: FIFO be empty, nempty should be low.\n"); repeat(2) read_fifo_compare(8'bx); reset_fifo; // FIFO repeat(`fifo_size*2) begin data_buf[0] = $random; end write_fifo(data_buf[0]); read_fifo_compare(data_buf[0]); // reset_fifo; read_fifo_compare(8'bx); write_fifo(data_buf[0]); read_fifo_compare(data_buf[0]); end $stop; fifo_interface ); fifo_interface(.in_data(in_data),.out_data(out_data),.fiford(fiford),.fifowr(fifowr),.nfull(nfull),.nempty(nempty),.address(address),.sram_data(sram_data),.rd(rd),.wr(wr),.clk(clk),.rst(rst) sram m1(.address(address),.data(sram_data),.srg(rd),.sre(1'b0),.srw(wr)); //SRAM //SRAM, //SRAM task write_fifo; input [7:0] data; 295

323 begin end in_data=data; #50 fifowr=0; //SRAM #200 fifowr=1; #50; endtask task read_fifo_compare; input [7:0] data; begin #50 fiford=0; //SRAM #200 fiford=1; if (out_data!= data) $display($time,"error: Data retrieved (%h) not match the one stored (%h). \n", out_data, data); #50; end endtask task reset_fifo; begin #40 rst=0; end #40 rst=1; endtask endmodule 4) FIFO FIFO `define SRAM_SIZE 8 // FIFO,SRAM 8Byte `timescale 1ns/1ns module fifo_interface( in_data, out_data, fiford, fifowr, nfull, // //, //FIFO //FIFO 296

324 nempty, address, //SRAM sram_data, //SRAM rd, wr, //SRAM //SRAM clk, rst); // // // input fiford, fifowr, clk, rst; // input[7:0] output[7:0] in_data; out_data; reg[7:0] in_data_buf, // out_data_buf; // // output reg nfull, nempty; nfull, nempty; // SRAM output rd, wr; //SRAM inout[7:0] sram_data; // SRAM output[10:0] reg[10:0] address; address; //Internal Register reg[10:0] fifo_wp, //FIFO fifo_rp; //FIFO reg[10:0] fifo_wp_next, //fifo_wp fifo_rp_next; //fifo_rp reg near_full, near_empty; 297

325 reg[3:0] state; //SRAM parameter idle = 'b0000, read_ready = 'b0100, read read_over = 'b0101, = 'b0111, write_ready = 'b1000, write = 'b1001, write_over = 'b1011; //SRAM clk or negedge rst) if (~rst) state <= idle; else case(state) idle: if (fifowr==0 && nfull) // FIFO // FIFO,FIFO state<=write_ready; else if(fiford==0 && nempty)// FIFO,FIFO state<=read_ready; else state<=idle; // FIFO read_ready: state <= read; // SRAM read: if (fiford == 1) state <= read_over; else state <= read; // read_over: state <= idle; // SRAM write_ready: state <= write; // SRAM write: if (fifowr == 1) state <= write_over; else state <= write; // 298

326 write_over: state <= idle; // SRAM endcase default: state<=idle; // SRAM assign rd = ~state[2]; //state read_ready read read_over assign wr = (state == write)? fifowr : 1'b1; clk) if (~fifowr) in_data_buf <= in_data; assign sram_data = (state[3])? //state write_ready write write_over in_data_buf : 8'hzz; or fiford or fifowr or fifo_wp or fifo_rp) if (state[2] ~fiford) address = fifo_rp; else if (state[3] ~fifowr) address = fifo_wp; else address = 'bz; // FIFO assign out_data = (state[2])? sram_data : 8'bz; clk) if (state == read) out_data_buf <= sram_data; // FIFO clk or negedge rst) if (~rst) fifo_rp <= 0; else if (state == read_over) fifo_rp <= fifo_rp_next; 299

327 if (fifo_rp == `SRAM_SIZE-1) fifo_rp_next = 0; else fifo_rp_next = fifo_rp + 1; clk or negedge rst) if (~rst) fifo_wp <= 0; else if (state == write_over) fifo_wp <= fifo_wp_next; if (fifo_wp == `SRAM_SIZE-1) fifo_wp_next = 0; else fifo_wp_next = fifo_wp + 1; clk or negedge rst) if (~rst) near_empty <= 1'b0; else if (fifo_wp == fifo_rp_next) near_empty <= 1'b1; else near_empty <= 1'b0; clk or negedge rst) if (~rst) nempty <= 1'b0; else if (near_empty && state == read) nempty <= 1'b0; else if (state == write) nempty <= 1'b1; clk or negedge rst) if (~rst) near_full <= 1'b0; else if (fifo_rp == fifo_wp_next) near_full <= 1'b1; else near_full <= 1'b0; clk or negedge rst) if (~rst) 300

328 nfull <= 1'b1; else if (near_full && state == write) nfull <= 1'b0; else if (state == read) nfull <= 1'b1; endmodule 301

329 I

330 II

331 III

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

科学计算的语言-FORTRAN95

科学计算的语言-FORTRAN95 科 学 计 算 的 语 言 -FORTRAN95 目 录 第 一 篇 闲 话 第 1 章 目 的 是 计 算 第 2 章 FORTRAN95 如 何 描 述 计 算 第 3 章 FORTRAN 的 编 译 系 统 第 二 篇 计 算 的 叙 述 第 4 章 FORTRAN95 语 言 的 形 貌 第 5 章 准 备 数 据 第 6 章 构 造 数 据 第 7 章 声 明 数 据 第 8 章 构 造

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

C/C++ - 数组与指针

C/C++ - 数组与指针 C/C++ Table of contents 1. 2. 3. 4. 5. 6. 7. 8. 1 float candy [ 365]; char code [12]; int states [50]; 2 int array [6] = {1, 2, 4, 6, 8, 10}; 3 // day_mon1.c: # include # define MONTHS 12 int

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

業 用 地 出 讓 最 低 價 標 準 不 得 低 於 土 地 取 得 成 本 土 地 前 期 開 發 成 本 和 按 規 定 收 取 的 相 關 費 用 之 和 工 業 用 地 必 須 採 用 招 標 拍 賣 掛 牌 方 式 出 讓 其 出 讓 價 格 不 得 低 於 公 佈 的 最 低 價 標

業 用 地 出 讓 最 低 價 標 準 不 得 低 於 土 地 取 得 成 本 土 地 前 期 開 發 成 本 和 按 規 定 收 取 的 相 關 費 用 之 和 工 業 用 地 必 須 採 用 招 標 拍 賣 掛 牌 方 式 出 讓 其 出 讓 價 格 不 得 低 於 公 佈 的 最 低 價 標 我 們 為 一 間 所 有 運 營 均 在 中 國 進 行 的 甲 級 物 流 設 施 供 應 商 以 下 為 對 我 們 在 中 國 的 業 務營運有重大關係的中國法律及法規概要 項目的開發 開發用地 儘 管 中 國 的 所 有 土 地 均 歸 國 家 或 集 體 所 有 然 而 個 人 及 企 業 可 獲 得 土 地 使 用 權 及 持 有 有關土地使用權作開發用途 全 國 人 民 代 表 大

More information

条款

条款 中 银 保 险 有 限 公 司 国 内 贸 易 信 用 保 险 (C 款 ) 条 款 1. 承 保 范 围 1.01 被 保 险 人 所 获 得 的 保 障 我 们 是 特 别 条 款 中 所 称 的 保 险 人 我 们 向 您, 即 特 别 条 款 中 所 称 的 被 保 险 人, 签 发 本 保 单, 并 就 本 保 单 收 取 保 险 费 根 据 保 单 的 条 款 和 条 件, 如 果 由

More information

西安美术学院福建公安高等专科.doc

西安美术学院福建公安高等专科.doc ...1...1 ( )...6... 11...13...14...16...21...22...36...37...38...39...43...44...46...48...48...51 I ( )...53...56...59...62...62...80...82...86...87 ( )...90...93...97 ( )...99... 106... 107... 118 ( )...

More information

专科疾病诊治(二十)

专科疾病诊治(二十) ...1... 11...19...32...43...50...52...53...58...61...64...66...69...84...89...92...95 I ...97... 100... 103... 107... 109 AD...111... 125... 128... 131... 135... 138... 140... 143... 146... 149... 152...

More information

VN-Cover

VN-Cover IP Verification 國立中山大學資訊工程學系 黃英哲 nlint - Rule Checker Course Objects Rule Definition nlint Utilizing 中山大學資工系黃英哲 3 Rule Definition Rule Group Coding style Language Construct Design style DFT Simulation

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

立 法 會 CB(2)2170/14-15(01) 號 文 件 關 愛 基 金 援 助 項 目 再 次 推 出 非 公 屋 非 綜 援 的 低 收 入 住 戶 一 次 過 生 活 津 貼 成 效 檢 討 報 告 背 景 關 愛 基 金 ( 基 金 ) 於 2015 年 1 月 2 日 起 再 次 推 出 非 公 屋 非 綜 援 的 低 收 入 住 戶 一 次 過 生 活 津 貼 項 目 ( 再 推

More information

Microsoft Word - tp20141223cb4-270-3-c.doc

Microsoft Word - tp20141223cb4-270-3-c.doc CB(4)270/14-15(03) 二 零 一 四 年 十 二 月 二 十 三 日 會 議 討 論 文 件 立 法 會 交 通 事 務 委 員 會 建 議 在 運 輸 署 開 設 一 個 常 額 總 機 電 工 程 師 職 位 和 一 個 常 額 首 席 行 政 主 任 職 位 目 的 本 文 件 就 在 運 輸 署 由 二 零 一 五 年 四 月 一 日 起 開 設 兩 個 常 額 首 長 級

More information

二零零七年十月十五日會議

二零零七年十月十五日會議 二 零 零 七 年 十 月 十 五 日 會 議 討 論 文 件 立 法 會 CB(1)21/07-08(01) 號 文 件 立 法 會 公 務 員 及 資 助 機 構 員 工 事 務 委 員 會 公 務 員 事 務 局 的 政 策 措 施 目 的 二 零 零 七 至 零 八 年 施 政 報 告 和 施 政 綱 領 載 列 政 府 的 新 措 施 和 各 項 持 續 推 行 的 措 施 本 文 件 詳

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

untitled

untitled Fortran Chapter 7 Subroutine ( ) and Function 7-1 subroution 行 不 行 來 行 The general form of a subroutine is subroutine subroutine_name ( argument_list) (Declaration section) (Execution section) retrun end

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378>

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378> 科 別 : 國 文 科 (A 區 ) 分 發 16 名 1 600110129 黃 毅 潔 國 立 豐 原 高 級 商 業 職 業 學 校 2 600110446 鄭 安 芸 國 立 南 投 高 級 中 學 3 600110632 李 孟 毓 桃 園 市 立 大 園 國 際 高 級 中 學 4 600110492 洪 珮 甄 南 投 縣 立 旭 光 高 級 中 學 5 600110262 柯 懿 芝

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC General instruction format for machine code 611 37100 微處理機原理與應用 Lecture 04-4 MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC 4.1 Converting Assembly Language Instructions to

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

C/C++ 语言 - 循环

C/C++ 语言 - 循环 C/C++ Table of contents 7. 1. 2. while 3. 4. 5. for 6. 8. (do while) 9. 10. (nested loop) 11. 12. 13. 1 // summing.c: # include int main ( void ) { long num ; long sum = 0L; int status ; printf

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

C/C++程序设计 - 字符串与格式化输入/输出

C/C++程序设计 - 字符串与格式化输入/输出 C/C++ / Table of contents 1. 2. 3. 4. 1 i # include # include // density of human body : 1. 04 e3 kg / m ^3 # define DENSITY 1. 04 e3 int main ( void ) { float weight, volume ; int

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

女性减肥健身(六).doc

女性减肥健身(六).doc ...1...3...8...9...9... 11...13...14...15...18...23...24...28...30...31...33...34...35...35 I ...37...39...40...42...43...45...46...47...48...49...51...53...55...57...58...62...68...69...70...72...73...75

More information

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I 78 SZ/SH/SM 55 ON OUT/ZOUT OUT OUT AOUT ZOUT SZ3 SZ4 SH SM SZSHSM I000~I177 I000~I477 I000~I077 I000~I077 1 Q000~Q177 Q000~Q477 Q000~Q077 Q000~Q077 M000~M377 M000~M377 M000~M377 M000~M377 1. ON OFF 2.OUT

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

C++ 程式設計

C++ 程式設計 C C 料, 數, - 列 串 理 列 main 數串列 什 pointer) 數, 數, 數 數 省 不 不, 數 (1) 數, 不 數 * 料 * 數 int *int_ptr; char *ch_ptr; float *float_ptr; double *double_ptr; 數 (2) int i=3; int *ptr; ptr=&i; 1000 1012 ptr 數, 數 1004

More information

an153f

an153f 153 2016 1 Linduino Michael Jones (PSM) LTpowerPlay (BMC) PSM PSM PMBus PMBus SMBus SMBus I2C PSM BMC Linduino (API) PSM Linduino PSM BMC BMC Robust PMBus System Software for the LTC3880) I 2 C / SMBus

More information

1-1 + 1 + + 2 + + 3 + 4 5 + 6 + 7 8 + 9 + 1-2 1 20000 20000 20000 20000 2 10000 30000 10000 30000 3 5000 5000 30000 4 10000 20000 10000 20000 5 3000 3000 20000 6 3000 3000 20000 7 5000 15000 8 5000 15000

More information

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn 1500 CIP 1500 /. 2006. 8 ISBN 7 5625 2128X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D920. 5 44 CIP 2006 087648 1500 388 430074 027 87482760 027 87481537 E-mail cbb@cug. edu. cn 2006 8 1 2006 8 1 850 1 168 1 /32 8. 625 220 26. 00 1.

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

目 錄 校 徽 圖 解 1 校 訓 釋 義 2 中 華 人 民 共 和 國 國 歌 3 順 德 聯 誼 總 會 屬 校 校 歌 4 辦 學 宗 旨 及 目 標 5 校 規 8 獎 懲 制 度 14 其 他 規 定 23 注 意 事 項 29 附 錄 33

目 錄 校 徽 圖 解 1 校 訓 釋 義 2 中 華 人 民 共 和 國 國 歌 3 順 德 聯 誼 總 會 屬 校 校 歌 4 辦 學 宗 旨 及 目 標 5 校 規 8 獎 懲 制 度 14 其 他 規 定 23 注 意 事 項 29 附 錄 33 學 生 須 知 網 頁 版 (14/15 年 度 ) 目 錄 校 徽 圖 解 1 校 訓 釋 義 2 中 華 人 民 共 和 國 國 歌 3 順 德 聯 誼 總 會 屬 校 校 歌 4 辦 學 宗 旨 及 目 標 5 校 規 8 獎 懲 制 度 14 其 他 規 定 23 注 意 事 項 29 附 錄 33 校 徽 圖 解 不 規 則 圖 形 是 順 德 市 的 輪 廓, 輪 廓 內 四 小 圖 代

More information