概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

Size: px
Start display at page:

Download "概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号"

Transcription

1 第 4 章数字集成电路 4. 逻辑代数运算规则 4.2 逻辑函数的表示与化简 4.3 集成门电路 4.4 组合逻辑电路 4.5 集成触发器 4.6 时序逻辑电路 4.7 存储器 *4.8 可编程逻辑器件 (PLD) *4.9 应用举例

2 概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

3 概述 集成电路是 6 年代初期发展起来的一种新型半导体器件 它是经过氧化 光刻 扩散 外延 蒸铝等半导体制造工艺, 把构成一定功能的电路所需的半导体管 电阻 电容等元件及它们之间的连接导线全部集成在一小片硅片上, 然后封装在一个管壳内的电子器件 其封装外形有圆壳形 扁平形或直插式等多种

4 概述 集成电路 模拟集成电路 数字集成电路

5 4. 逻辑代数运算规则 逻辑代数又称布尔代数, 是研究逻辑关系的一种数学工具, 被广泛应用与数字电路的分析与设计 逻辑代数表示的是逻辑关系, 它的变量取值只有 和, 表示两个相反的逻辑关系 逻辑代数有三种基本的逻辑运算 : 与运算 或运算和非运算, 其他的各种逻辑运算都可以由这三种基本运算组成

6 4. 逻辑代数运算规则 自等律 A+=A, A =A - 律 A =,A+= 互补律 A+A=, A A= 重叠律 A+A=A,A A=A 交换律 :A+B=B+A,AB=BA

7 4. 逻辑代数运算规则 还原律 A=A 结合律 :A+(B+C)=(A+B)+C (AB)C=A(BC) 分配律 :A(B+C)=AB+AC,A+BC=(A+B)(A+C) 吸收定律 :A+AB=A,A(A+B)=A, A+AB=A+B 反演律 :ABC=A+B+C A+B+C=A B C

8 4. 逻辑代数运算规则 逻辑代数运算规则的证明方法一 : 用逻辑状态表加以证明, 即等号两边表达式的逻辑状态表完全相等, 等式成立 方法二 : 利用已有的公式证明 如 :(A+B)(A+C)=AA+AC+BA+BC =A+AC+AB+BC =A(+C+B)+BC=A+BC

9 4.2 逻辑函数的表示与化简 4.2. 逻辑函数的表示方法 逻辑函数的代数化简法

10 概述 当一组输出变量 ( 因变量 ) 与一组输入变量 ( 自变量 ) 之间的函数关系是一种逻辑关系时, 称为逻辑函数 一个具体事物的因果关系就可以用逻辑函数表示

11 4.2. 逻辑函数的表示方法 逻辑状态表 : 列出输入 输出变量的所有逻辑状态逻辑表达式 : 用基本运算符号列出输入 输出变量间的逻辑代数式逻辑图 : 用逻辑符号表示输入 输出变量间的逻辑关系

12 4.2. 逻辑函数的表示方法 [ 例 4.2.]: 设一个三输入变量的偶数判别电路, 输入变量为 A,B,C, 输出变量为 F 当输入变量中有偶数个 时,F=; 有奇数个 时,F= 试用不同的逻辑函数表示法来表示 解 : ( ) 逻辑状态表 三个输入变量的最小项有 2 3 = 8 个, 即有 8 个组合状态, 将这 8 个组合状态的输入, 输出变量都列出来, 就构成了逻辑状态表, 如表所示 输入输出 A B C F

13 4.2. 逻辑函数的表示方法 ( 2 ) 逻辑表达式 把逻辑状态表中的输入, 输出变量写成与 或形式的逻辑表达式, 将 F = 的各状态表示成全部输入变量的与函数, 并将总输出表示成这些与项的或函数 例中的逻辑表达式 : 输入输出 A B C F F =A B C + A B C + A B C + A B C

14 4.2. 逻辑函数的表示方法 ( 3 ) 逻辑图 若将逻辑表达式中的逻辑运算关系用相应的图形符号并适当加以连接, 则构成逻辑图

15 4.2.2 逻辑函数的代数化简法 为什么要化简逻辑函数? 可以更方便 更直观地分析其逻辑关系, 而且在设计具体的逻辑电路时所用的元件数也会最少, 从而可以降低成本, 提高可靠性

16 4.2.2 逻辑函数的代数化简法 为什么要化简逻辑函数? 可以更方便 更直观地分析其逻辑关系, 而且在设计具体的逻辑电路时所用的元件数也会最少, 从而可以降低成本, 提高可靠性 化简方法 代数化简法 : 就是利用逻辑代数的基本运算规则来化简逻辑函数

17 4.2.2 逻辑函数的代数化简法 代数化简法的实质 : 是对逻辑函数作等值变换, 通过变换使与 - 或表达式的与项最少, 以及在满足与项最少的条件下, 每个与项的变量数最少

18 4.2.2 逻辑函数的代数化简法 代数化简法中经常使用的方法 : 合并项法 利用公式 AB+AB=A, 把两项合并成一项 例如 : F=ABC+ABC+AB=AB(C+C)+AB=AB+AB=A 2 吸收法 利用公式 A+AB=A, 消去多余项 例如 : F= AB + AC + BD = A+ B+ AC + BD = A( + C) + B( + D) = A+ B

19 4.2.2 逻辑函数的代数化简法 代数化简法中经常使用的方法 : 3 消去法利用公式 A+AB=A+B, 消去多余变量 例如 : F = AC + AB + BC + BD = AC + (A + C)B + BD = AC + ACB + BD = AC + B+ BD = AC + B+ D

20 4.2.2 逻辑函数的代数化简法 代数化简法中经常使用的方法 : 4 配项法利用公式 A+A=, 可在某一与项中乘以 A+A, 展开后消去多余项 也可利用 A+A=A, 将某一与项重复配置, 分别与有关与项合并, 进行合化简

21 4.2.2 逻辑函数的代数化简法 代数化简法中经常使用的方法 : 配项法的例子 F = A C + AC + BC + BC = AC(B + B) + AC + BC(A + A) + BC = ABC + A BC + AC + A BC + A BC + BC = BC(A + ) + A B(C + C) + AC( + B) = BC + A B + AC

22 4.2.2 逻辑函数的代数化简法 代数化简法中经常使用的方法 : 注意 : 上例中, 如果对第 2 项 AC AC + BC + AB 及第 4 项 进行配项, 则化简结果为 可 见, 对于一个逻辑函数可以得到不同的化简结果, 这每一个结果都是最简的 BC

23 4.2.2 逻辑函数的代数化简法 [ 例题 4.2.] 试化简逻辑函数 F = AB + AB + AD + AC + BD + ACEF + BEF [ 解 ] F = AB + AB + AD + AC + BD + ACEF + BEF = A( B + B) + AD + AC + BD + ACEF + BEF = A + AD + AC + BD + ACEF + BEF ( 合并法 ) = A( + D + CEF) + AC + BD + BEF = A + AC + BD + BEF ( 吸收法 ) = A + C + BD + BEF ( 消去法 )

24 4.3 集成门电路 4.3. TTL 门电路 CMOS 门电路

25 概述 门电路 : 是数字电路的基本逻辑单元 门电路 TTL 门电路 CMOS 门电路 为了正确应用集成门电路, 除了掌握各种门电路的逻辑功能以外, 还必须了解它们的基本特性和主要参数

26 几种门电路的图形符号和逻辑功能 名称 图形符号 逻辑表达式 功能说明 与门 A B & F F=AB 输入全, 输出为 输入有, 输出为 或门 A B F F=A+B 输入有, 输出为 输入全, 输出为 非门 A F F = A 输入为, 输出为 输入为, 输出为 与非门 A B & F F = AB 输入全, 输出为 输入有, 输出为 或非门 A B F F = A + B 输入有, 输出为 输入全, 输出为 异或门 A B = F F = A B + = A B A B 输入相异, 输出为 输入相同, 输出为

27 4.3. TTL 门电路 TTL 门电路 : 是晶体管 - 晶体管逻辑门电路的简称 TTL 门电路的特点 : 工作速度快, 带负载能力强, 抗干扰性能好等

28 TTL 与非门电路 结构

29 TTL 与非门电路 () 工作原理 : () 若输入端 A B C 全部为高电平 ( 设输入电压 U IH =3.6V),T2 和 T5 饱和导通,U B 2.V, U B3= U CES2+ U BE5 =(.3+.7)V=V,T3 导通, T4 截止,,U O =U CES =.3V, 输出端 F 为低电平!

30 TTL 与非门电路 () 工作原理 : (2) 若输入端有一个或几个为低电平 ( 设 U IL =.3V),U B =U BE =.7V,T 处于深度饱和状态, U B2.3V, 故 T2 T5 截止, 此时 U O =U CC -U BE3 -U BE4 - U R2 U CC -U BE3 -U BE4 =( )V 3.6V, 输出 F 为高电平 结论 : 电路具有与非功能 即只有输入全是高电平时, 输出才为低电平 ; 若输入有一个或几个为低电平, 输出就为高电平

31 TTL 与非门电路 (2) 电压传输特性 描述了与非门的输出电压与输入电压之间的关系 如果把与非门的一个输入端接一个可变的直流电源, 其余输入端接高电平, 当输入电压 U I 从零逐渐增加到高电平, 输出电压便会作出相应的变化, 就可以得到 TTL 与非门的电压传输特性曲线

32 TTL 与非门电路 (2) 电压传输特性当 U I 从零开始增加时, 在一定范围内输出的高电平基本不变 ; 当 U I 上升到一定数值后, 输出很快下降为低电平 ; 如 U I 继续增加, 输出低电平基本不变

33 TTL 与非门电路 (3) 主要参数 输出高电平 U OH 和输出低电平 U OL U OH 是指输入至少有一个为低电平时的输出电平 ; U OL 是指输入端全为高电平时的输出电平 在实际应用中, 通常规定了高电平的下限值及低电平的上限值 例如 TTL 与非门当 UCC=5V 时, UOH 2.4V,UOL.4V

34 TTL 与非门电路 (3) 主要参数 2 开门电平 U ON 和关门电平 U OFF 开门电平 U ON 是指输出电平刚刚下降到输出低电平的上限值时的输入电平, 它是保证与非门的输出为低电平时的输入高电平下限值

35 TTL 与非门电路 (3) 主要参数 2 开门电平 U ON 和关门电平 U OFF 关门电平 U OFF 是指输出电平刚刚上升到输出高电平的下限值时的输入电平, 它是保证与非门的输出为高电平时的输入低电平上限值 对 TTL 与非门, 一般规定 U ON =.8V,U OFF =.8V

36 TTL 与非门电路 (3) 主要参数 3 输入低电平噪声容限 U NL 和输入高电平噪声容限 U NH 噪声容限表征了与非门电路的抗干扰能力 U NL 表征了输入低电平 (U IL =U OL ) 时, 允许的最大噪声, 显然 : U NL =U OFF -U OL U NH 表征了输入高电平 (U IH =U OH ) 时, 允许的最大噪声, 显然 : U NH =U OH -U ON

37 TTL 与非门电路 (3) 主要参数 4 扇出系数 N 扇出系数 N 是指一个与非门能带同类门的最大数目, 它表示与非门的带负载能力 对 TTL 与非门而言, 手册规定 N 8

38 TTL 与非门电路 (3) 主要参数 5 平均传输延迟时间 t pd 平均传输延迟时间 t pd 是指输出脉冲相对于输入脉冲来说的平均传输延迟时间 : t pd =(t phl +t plh )/2 它表示门电路的开关速度,tpd 越小, 开关速度越快

39 TTL 与三态非门电路 三态门的作用 : 如果把几个逻辑门的输出端都接到同一根传输线上, 要求每个逻辑门能在不同时刻轮流向传输线传送信号, 这就需要对每个逻辑门进行分时控制. 这种带有控制端的逻辑门就是三态门

40 TTL 与三态非门电路 结构

41 TTL 与三态非门电路 工作原理 () 当控制信号 EN = 时,P=,D 截止, 与普通与非门一样,F = AB (2) 当控制信号 EN = 时,P=, 多发射极晶体管 T 有一个输入端为低电平, 所以 T 2 T 5 截止, 同时二级管 D 导通,T 3 基极电位也变低, 所以 T 4 截止 因 T 4 T 5 都截止, 输出端 F 便被悬空, 呈现高阻状态 所以三态门有三种状态 : 高阻态 低电平和高电平

42 TTL 与三态非门电路 图形符号 EN = EN = 在 (a) 图中时,F 为高阻态, 在时 AB F=, 故称为控制端低电平时有效的三态与非门 在 (a) 图中 EN= 时,F 为高阻态, 在 EN= 时 AB F=, 故称为控制端低电平时有效的三态与非门

43 TTL 与三态非门电路 三态门的应用 三态门接于总线, 可实现数据或信号的轮流传送

44 4.3.2 CMOS 门电路 MOS 型数字集成电路可分为 NMOS 电路 PMOS 电路和 CMOS 电路 CMOS 电路是互补 (Completemetary)MOS 电路的简称 所谓 互补 是从电路结构来说的, 它是由两种不同类型的 MOS 管组合而成的门电路, 由 P 沟道增强型 MOS 管作为负载管, 由 N 沟道增强型 MOS 管作为驱动管 CMOS 电路具有电路简单 输入电阻高 功耗小 带负载能力强 抗干扰能力强 允许电源波动范围大 工作速度与 TTL 接近等优点, 从而获得广泛运用

45 CMOS 非门 三态门的应用 三态门接于总线, 可实现数据或信号的轮流传送

46 CMOS 非门 结构 工作原理 设 :u i =, 则 :T 2 导通,T 截止, u o U DD 设 : u i = U DD, 则 :T 导通,T 2 截止,u o 该电路具有非门功能

47 CMOS 或非门 结构 工作原理 当 A B 均为低电平时,T 3 T 4 导通 ;T T 2 截止, 输出端 F 为高电平 当 A B 至少有一个为高电平时,T 3 和 T 4 至少有一个截止, 而 T 和 T 2 至少有一个导通, 输出端 F 为低电平 该电路具有或非门功能

48 4.4 组合逻辑电路 4.4. 组合逻辑电路的分析和设计方法 加法器 编码器 译码器及数字显示

49 概述 把门电路按一定规律加以组合, 可以构成具有各种逻辑功能的逻辑电路 这种电路叫组合逻辑电路 组合逻辑电路的特点 : 输出状态只与当前的输入状态有关, 与原输出状态无关 或者说, 当输入变量选取任意一组确定的值 以后, 输出变量的状态就唯一地被确定

50 4.4. 组合逻辑电路的分析和设计方法 组合逻辑电路的分析 : 是指在逻辑电路结构给定的情况下, 通过分析, 确定其逻辑功能 组合逻辑电路的设计 : 是根据实际需要的逻辑功能, 设计出最简单的 逻辑电路

51 4.4. 组合逻辑电路的分析和设计方法 组合逻辑电路的分析和设计的流程图

52 组合逻辑电路的分析 组合逻辑电路分析的具体步骤 :. 根据已知逻辑电路图写出逻辑表达式 2. 利用代数法对逻辑表达式进行化简, 化简成最简逻辑表达式 3. 根据最简逻辑表达式列出逻辑状态表 4. 根据逻辑状态表分析逻辑电路的逻辑功能

53 组合逻辑电路的分析 [ 例 4.4.] 分析图示组合逻辑电路的功能 [ 解 ]: () 根据逻辑图, 可写出 F 的表达式为 F = ABAABB (2) 化简 : F = AB A + AB B = ( A + B ) A + ( A + B ) B = A B + A B

54 组合逻辑电路的分析 (3) 逻辑状态表 A B F (4) 功能 : 用与非门组成的异或门电路

55 组合逻辑电路的设计 组合逻辑电路设计的具体步骤 :. 根据给定的逻辑功能定义相应的输入 输出变量 2. 根据给定的逻辑功能和定义的输入 输出变量列出逻辑状态表 3. 根据逻辑状态表写出逻辑表达式 4. 利用代数法对逻辑表达式进行化简, 化简成最简逻辑表达式 5. 根据最简逻辑表达式画出逻辑电路图

56 组合逻辑电路的设计 [ 例 4.4.2] 设计一个逻辑电路供 3 人表决使用, 表决按少数服从多数的原则通过 [ 解 ]: () 设 3 人各有一按钮, 用变量 A B C 表示, 同意时按下按钮, 变量取值为, 不同意时不按按钮, 变量取值为 F 表示表决结果,F= 表示通过,F= 表示不通过 (2) 根据题意列出逻辑状态表 A B C F

57 组合逻辑电路的设计 (3) 由逻辑状态表写出逻辑函数表达式, 并化简 F = A BC + A B C + AB C + ABC = ( A + A ) BC + ( B + B ) AC + ( C + C ) AB = AB + BC + (4) 据化简后的逻辑函数表达式可以画出逻辑图 AC

58 组合逻辑电路的设计 (5) 如果要求全部用与非门实现, 则首先必须将与或表达式转换成与非 - 与非表达式 转换的方法就是利用反演律 F = AB BC AC 这时可以用四个与非门实现 可见一个逻辑函数可以由多种形式的逻辑图来实现

59 加法器是算术运算电路中的基本运算单元, 用于二进制数的加法运算 一. 半加器 : 只求本位相加, 不计低位进位. 半加器逻辑状态表 ( A.B: 两个相加位 ; S: 半加和 ;C: 进位数 ; ) 2. 逻辑关系式 : 加数 A 加法器 被加数 B 和 S 进位数 C S = A B + C=AB A B

60 半加器 3. 逻辑图 图 (a) 是实现半加器的逻辑图 图 (b) 是半加器的逻辑符号

61 全加器 二 全加器 : 两个一位二进制数相加, 并考虑低位来的进位. 全加器逻辑状态表 (A B 是本位的加数和被加数,C - 是从低位来的进位数,S 为和数,C 为进位数 ) 输入 输出 加数 A 被加数 B 低位来的进位 C 和数 S 进位数 C

62 全加器 2 全加器的图形符号 3 全加器集成块 :74LS83

63 全加器 4 两片 74LS83 组成的 4 位二进制加法器

64 4.4.3 编码器 译码器及数字显示 编码器 编码就是用二进制代码来表示一个给定的十进制数 字符或含义 完成这一功能的逻辑电路称为编码器 用二进制代码来表示十进制数, 称为二一十进制编码 (Biary Coded Decimal, 简称 BCD 码 ) 最常用的一种二 - 十进制编码是 842 BCD 码

65 编码器 842 BCD 码编码表 A B C D 842 BCD 码十进制表

66 编码器 842 BCD 码编码器的逻辑图 只要将拨码开关拨到需编码的十进制数对应的位置, 输出端 DCBA 就会输出相应的 842 BCD 码

67 译码器 译码是编码的逆过程, 即是将代码所表示的信息翻译过来的过程 实现译码功能的电路称为译码器 二进制译码器 : 将二进制代码翻译成相应信息的电路 二进制译码器的输入是 N 位二进制码, 有 N 个输入端, 有 2N 组输入状态, 译码器的每一个输出对应于一组输入组合 ( 即一个代码 ), 所以有 2N 个输出端, 通常称为 N 线 -2N 线译码器 ( 如 2 线 -4 线译码器 3 线 -8 线译码器 )

68 译码器 双 2 线 -4 线译码器 TTL 集成电路 CT74LS39 图 (a) 是引脚图图 (b) 是图是其中一个译码器的逻辑图

69 译码器 CT74LS39 2 线 -4 线译码器的逻辑状态表 输入 输出 使能 选择输入 ST A A Y 3 Y 2 Y Y 功能 禁止译码 进行译码 ( 输出低电平有效 )

70 数字显示 在数字系统中, 常常需要将测量和运算的结果直接按人们习惯的十进制形式显示出来 这首先要对二进制数进行译码, 然后由译码器驱动相应的数码显示器 七段显示器每一段表示的字母及所组成的字形 :

71 数字显示 半导体发光数码管 : 内部含有 7 个条状发光二级管 发光二级管 (LED) 含有一个 PN 结, 在正向偏置时, 由于多数载流子大量复合释放出能量, 其中一部分转变为光能而发光 光的颜色和所用的材料有关, 有红 黄 绿等多种 其正向压降比普通二极管要大, 约.3~2.4V

72 数字显示 半导体发光数码管的两种接法 : 图 (a) 是共阳极接法, 图 (b) 是共阴极接法

73 数字显示 842 BCD 码 - 七段译码器的逻辑状态表 ( 假设共阴极接法 ) 输入 D C B A 输出 a b c d e f g 显示的十进制数

74 数字显示 TTL 集成电路 CT74LS248 BCD 七段译码器与共阴极半导体发光数码管连接的示意图

75 数字显示 CT74LS248 控制测试端 LT RBI BI 的作用 LT RBI BI 作用试灯灭灯灭零显零 显示 8 全灭灭

76 4.5 集成触发器 4.5. 基本 RS 触发器 同步 RS 触发器和 D 锁存器 正边沿触发的 D 触发器 负边沿触发的 JK 触发器

77 概述 时序逻辑电路 : 它的输出不仅与当前时刻的输入状态有关, 而且与电路原来的状态有关 集成触发器 : 是组成时序逻辑电路的基本部件 集成触发器的特点 : () 触发器具有 和 两个稳定状态, 在触发信号作用下, 可以从原来的一种稳定状态转换到另一种稳定状态 (2) 触发器的输出状态不仅和当时的输入有关, 而且和以前的输出状态有关, 这是触发器和门电路的最大区别

78 4.5. 基本 RS 触发器 结构 : S 为输入端 R, Q Q 为输出端, 正常工作时 Q 与 Q 的电平是相反的

79 4.5. 基本 RS 触发器 工作原理 : () 当 S= R= 时,G2 门的输出 Q=, 反馈到 G 门, 使 G 门的两个输入均为, 输出 Q= Q= 又反馈到 G2 门的输入端, 保证 Q= 此时即使 R= 的信号撤掉 ( 即由 变 ), 触发器的状态不变, 这就是触发器的记忆功能 Q= Q= 时, 称触发器处于 状态

80 4.5. 基本 RS 触发器 工作原理 : (2) 当 S= R= 时,Q= Q= 时, 称触发器处于 状态 (3) 当 S= R= 时, 两个与非门的工作状态不受影响, 触发器保持原来的状态不变

81 4.5. 基本 RS 触发器 (4) 当 S= R= 时, Q=Q=, 是触发器的不正常状态 而且当 S= R= 时的信号同时撤掉后 ( 即 S R 同时由 变 ), 由于门电路翻转速度的不确定性, 触发器的状态将不能确定 因此在使用中应避免这种情况出现

82 4.5. 基本 RS 触发器 基本 RS 触发器的状态转换表如果用 Q 表示触发器原来的状态 ( 称为原态 ),Q + 表示新的状态 ( 称为次态 ), 可以列出基本 RS 触发器 的逻辑状态转换表 S R Q Q + 基本 RS 触发器的状态转换表的简易画法 不定 S R Q + 不定 Q

83 4.5. 基本 RS 触发器 基本 RS 触发器的波形图 基本 RS 触发器的图形符号

84 4.5. 基本 RS 触发器 基本 RS 触发器结论 : () 触发器的输出有两个稳态 :Q= Q= 和 Q= Q= 这种有两个稳态的触发器通常称为双稳态触发器 若令 S= R=, 触发器的状态就可以保持, 说明双稳态触发器具有记忆功能 (2) 利用加于 S R 端的负脉冲可使触发器由一个稳态转换为另一稳态 加入的负脉冲称触发脉冲

85 4.5. 基本 RS 触发器 基本 RS 触发器结论 : (3) 可以直接置位 当 R= S= 时,Q= 所以 R 端称为置 端或复位端 ; 而 R= S= 时,Q=, 所以端 S 称为置 端或置位端 R S 上方的 ( 非号 ) 表示加负脉冲 ( 低电平 ) 时才有这个功能 图形符号中 引线靠近方框处的小圆圈也表示该触发器是用低电平触发的 Q 引线靠近方框处的小圆圈表示该端状态和 Q 端相反

86 4.5.2 同步 RS 触发器和 D 锁存器 概述 在数字系统中往往要求触发器的动作时刻和其他部件相一致, 这就必须有一个同步信号, 以协调触发器和触发器 触发器和其他数字逻辑部件的动作 同步信号是一种脉冲信号, 通常称为时钟脉冲 (Clock Pulse 简称 CP) 具有时钟脉冲的触发器叫同步触发器

87 同步 RS 触发器 结构 图形符号 图中 R S 端为数据输入端,CP 端为时钟脉冲输入端, R d S d 分别为直接置位 复位输入端

88 同步 RS 触发器 R d S d 的作用 : 当 S d = R d = 时,Q=, 直接置位 ; 当 S d = R d = 时,Q=, 直接复位 所以 S d 和 R d 分别称为直接置位输入端和直接复位输入端, 它们都是低电平或负脉冲时有效 S d R d 常用来设置所需要的初始状态, 一般应在时钟脉冲到来之前设定触发器的初始状态 不作用时, S d 和 R d 都应设置成高电平

89 同步 RS 触发器 同步 RS 触发器的工作原理 : ()CP= 时,R 和 S 都被封锁, 触发器的状态不会改变 只有在 CP= 时, 触发器状态才会根据 S R 端的输入而改变 这就是同步的作用 (2)CP= 时, 输入信号作用至基本 RS 触发器 在 CP= 期间, 若 S= R= 则 Q=; 若 S= R=, 则 Q=; 若 S=R=, 则状态不变 ; 但如果 S=R= 则当 CP 由 变 时,Q 的状态不定

90 同步 RS 触发器 同步 RS 触发器的波形图 : 注意 :S d R d 两直接输入端的作用及 CP 的控制作用

91 同步 D 触发器 结构 图形符号

92 同步 D 触发器 同步 D 触发器的工作原理 : () 当 CP= 时,D 输入端被封锁, 数据不能传入,D 锁存器状态不变 (2)CP= 时,D 锁存器输出状态由 D 输入端电平决定, 若 D= 则 Q=, 若 D= 则 Q= 一旦 CP 重新变为,D 数据就被锁存

93 同步 D 触发器 同步 D 触发器的特性方程 同步 D 触发器 ( 即 )D 锁存器的逻辑函数表达形式 ( 通常称为特性方程 ) 为 : Q + =D 由于 D 锁存器的状态只有在 CP= 期间才能改变, 故把这种触发方式称为电平触发方式 电平触发方式的优点是结构简单, 动作较快 缺点是 CP= 期间, 输入状态的变化会引起输出状态的变化 因此电平触发方式的触发器不能用于计数, 只能用于锁存数据

94 4.5.3 正边沿触发的 D 触发器 边沿触发是指触发器的次态仅由时钟脉冲的上升沿或下降沿来到时的输入信号决定, 在此以前或以后输入信号的变化不会影响触发器的状态 边沿触发器分为正边沿 ( 上升沿 ) 触发器和负过沿 ( 下降沿 ) 触发器两类

95 4.5.3 正边沿触发的 D 触发器 正边沿 D 触发器的图形符号 注意 : 图中的方框内 C 处有一个符号, 表示 C 的输入由 变 ( 上升沿 ) 时,D 的输入才起作用 TTL 集成电路中,CT74LS74 CT74LS273 等都属于正边沿触发的 D 触发器

96 4.5.3 正边沿触发的 D 触发器 正边沿 D 触发器和 D 锁存器的波形图 Q 是正边沿 D 触发器的波形 ; Q 是高电平触发的 D 锁存器的波形 正边沿 D 触发器与 D 锁存器的特性方程是一致的 即 : Q + =D

97 4.5.4 负边沿触发的 JK 触发器 负边沿 JK 触发器的图形符号 () 图 (b) 中的 J K 各有两个输入端 ( 也可能为多个输入端 ) 它们之间是与逻辑关系, 即 J=J J 2,K=K K 2 (2)S d 是直接置位端, R d 是直接复位端 (3)CP 是时钟脉冲输入端 CP 端靠近方框处有一小圆圈, 加上方框内的符号, 表示 CP 信号从高电平到低电平时有效, 即属负边沿 ( 下降沿 ) 触发

98 4.5.4 负边沿触发的 JK 触发器 JK 触发器的逻辑状态转换表 J K Q Q + 简化形式 J K Q + Q 功能保持置 置 Q 翻转

99 4.5.4 负边沿触发的 JK 触发器 JK 触发器的特性方程 根据 JK 触发器的逻辑状态转换表可以写出 JK 触发器的特性方程为 : + Q = J K Q + J K Q + J K Q + = = ( + J K + J K ) Q + ( J K JK ) J Q + K Q JK Q Q

100 4.5.4 负边沿触发的 JK 触发器 负边沿 JK 触发器的波形图

101 4.5.4 负边沿触发的 JK 触发器 [ 例 4.5.] 分析图示电路的逻辑功能

102 4.5.4 负边沿触发的 JK 触发器 [ 解 ]: 由图可以求得 KQ Q J KQ Q J D ) = ( = ) )( ( Q K Q J + + = Q K Q J K J + + = Q K Q J Q Q K J = ) ( ) ( ) ( = J Q K K Q J Q K Q J + = 所以 : Q K Q J D Q + = = + 这是由 D 触发器和门电路构成的负边沿 JK 触发器

103 4.5.4 负边沿触发的 JK 触发器 如果把 JK 触发器的 J K 端连在一起, 输入端用 T 表示, 则称为 T 触发器 : T 触发器的特性方程 : + Q = T Q + T Q + 当 T= 时, Q = Q ( 此时又称为 T 触发器 ),CP 每次作用, 触发器都翻转 ; 当 + T= 时, Q = Q,Q 状态保持不变 T(T ) 触发器常用于计数电路中

104 4.6 时序逻辑电路 4.6. 时序逻辑电路的分析方法 寄存器 计数器

105 概述 时序逻辑电路的特点 : 由触发器或触发器加组合逻辑电路组成 2 时序逻辑电路的输出不仅与当前时刻的输入状态有关, 而且与电路原来状态 ( 触发器的状态 ) 有关 3 时序 意即电路的状态与时间顺序有密切的关系 时序逻辑电路的分类 : 根据时钟脉冲加入方式的不同, 分为同步时序逻辑电路和异步时序逻辑电路

106 4.6. 时序逻辑电路的分析方法 时序逻辑电路的分析任务 : 时序逻辑电路的分析就是分析给定时序逻辑电路的逻辑功能 由于时序电路的逻辑状态是按时间顺序随输入信号的变化而变化, 因此, 分析时序逻辑电路就是找出电路的输出状态随输入变量和时钟脉冲作用下的变化规律

107 4.6. 时序逻辑电路的分析方法 时序逻辑电路的分析步骤 : () 分析电路的组成 了解哪些是输入量, 哪些是输出量 了解各触发器之间的连接方法和组合电路部分的结构 ( 在不少时序逻辑电路中, 都含有组合逻辑电路的部分 ) (2) 写出组合逻辑电路对外输出的逻辑表达式, 称为输出方程 若没有则不写

108 4.6. 时序逻辑电路的分析方法 时序逻辑电路的分析步骤 : (3) 写出各个触发器输入端的逻辑函数表达式, 称为驱动方程 (4) 把各个触发器的驱动方程代入触发器的特性方程, 得出各触发器的状态方程 (5) 根据状态方程和输出方程, 列出逻辑状态转换表, 画出波形图, 确定该时序电路的状态变化规律和逻辑功能

109 4.6. 时序逻辑电路的分析方法 [ 例题 4.6.] 分析图示时序逻辑电路的功能, 假设初始状态为 Q 2 Q Q =

110 4.6. 时序逻辑电路的分析方法 [ 解 ]() 分析电路结构 : 该时序逻辑电路由三个 JK 触发器 F F 和 F 2 组成, 它们受同一个时钟脉冲 CP 控制, 因此是同步时序电路 (2) 各触发器 F F 和 F 2 对应的 J K J K J 2 K 2 的输入表达式, 即驱动方程为 : J = Q, 2 K = Q 2 K = Q J = Q J = 2 Q K 2 = Q

111 4.6. 时序逻辑电路的分析方法 (3) 将上述驱动方程代人到 JK 触发器的特 + Q = J Q + K Q 性方程中去, 得到状态方程 : Q + = Q 2 Q + = Q Q 2 + = Q (4) 状态转换表 : Q 2 现态次态 Q Q + 2 Q + Q + Q

112 4.6. 时序逻辑电路的分析方法 (5) 例题 4.6. 的波形图 (6) 例题 4.6. 的功能 : 顺序脉冲发生电路

113 4.6. 时序逻辑电路的分析方法 [ 例题 4.6.2] 分析图示时序逻辑电路的功能, 假设初始状态为 Q 3 Q 2 Q Q =

114 4.6. 时序逻辑电路的分析方法 [ 解 ]() 分析电路结构 : 该时序逻辑电路由四个 JK 触发器 F A F B F C 和 F D 组成, 它们受同一个时钟脉冲 CP 控制, 因此是同步时序电路 (2) 列出各触发器的驱动方程为 : J A =K A = J B =Q A Q D,K B =Q A J C =K C =Q A Q B J D =Q A Q B Q C,K D =Q A

115 4.6. 时序逻辑电路的分析方法 (3) 各触发器的状态方程为 : (4) 输出方程 : C=Q D Q A Q A + =Q A Q B + =Q A Q D Q B +Q A Q B Q C + =Q A Q B Q C +Q A Q B Q C Q D + =K C =Q A Q B Q C Q D +Q A Q D

116 4.6. 时序逻辑电路的分析方法 (5) 例题 的状态转换表 : 序号 现在状态 Q D Q C Q B Q A 下一个状态 Q D + Q C + Q B + Q A + 进位 C

117 4.6. 时序逻辑电路的分析方法 (6) 例题 的分析上述十进制计数器, 在 CP 作用下,Q D Q C Q B Q A 按 再 的规律变化, 个状态为一个循环, 而不出现 等 6 个状态 有效状态 : 计数循环中出现的状态称为有效状态 无效状态 : 计数循环中不出现的状态称为无效状态

118 4.6. 时序逻辑电路的分析方法 (6) 例题 的分析 自启动 : 计数器正常工作时, 电路状态只会在有效状态内循环, 不会出现无效状态 但如果外界干扰或其它偶然因素的作用, 可能会使逻辑电路出现无效状态, 这时如果在时钟脉冲作用下能使电路自动回到某一个有效状态, 则称该电路能自启动

119 4.6. 时序逻辑电路的分析方法 (7) 状态转换图 为了更形象直观地显示电路的逻辑功能, 还可以用逻辑状态转换图来表 其中圆圈内的二进制数表示计数器的状态, 圆圈与圆圈之间的箭头号表示状态的转换方向

120 4.6. 时序逻辑电路的分析方法 (8) 例题 的波形 (9) 例题 的功能 : 这一位同步十进制加法计数器, 该计数器除了计数, 还具有 分频的功能

121 4.6.2 寄存器 寄存器分为数码寄存器和移位寄存器 数码寄存器数码寄存器用来暂时存放参与运算的数据和运算结果 一位触发器可寄存一位二进制数, 需要存放多少位数, 就需要用多少个触发器

122 数码寄存器 用四个 D 触发器组成的四位数码寄存器 : D 3 D 2 D D 为待寄存的四位二进制数码, 当 CP 端加 入一个正脉冲后, 四位二进制数码就存入四个触发器 了

123 移位寄存器 移位寄存器的功能 : 存放数码和移位 移位 : 就是在移位脉冲作用下使得寄存器的数码向左或向右移位 通过数码移位, 可以实现两个二进制数的串行相加 相乘和其他的算术运算 移位寄存器分为单向移位寄存器和双向移位寄存器 ; 按输入方式的不同, 可分为串行输入和并行输入 ; 按输出方式的不同, 可分为串行输出和并行输出

124 单向移位寄存器 单向移位寄存器 : 分右移寄存器和左移寄存器 数码自左向右移称为右移寄存器 ; 数码自右向左移称为左移寄存器

125 单向移位寄存器 D 触发器组成的四位数码右移寄存器 输入只加至触发器 FA 的 D 端, 是串行输入方式 四位数码输出可以从四个触发器的 Q 端得到, 即并行输出 ; 从最后一个触发器 F D 的 Q D 端得到, 即串行输出

126 单向移位寄存器 D 触发器组成的四位数码右移寄存器的状态方程 : Q = D Q = D Q = + A R B A C B D C D Q = D 触发器组成的四位数码右移寄存器的波形图 :, D,

127 双向移位寄存器 四位双向移位寄存器的逻辑图 数码从 F D 向 F A 方向逐位移动 左移 ; 从 FA 向 FD 方向逐位移动 右移 M 为移位方向控制端,D R 和 D L 分别为右移和左移串行输入端

128 双向移位寄存器 四位双向移位寄存器的状态方程 : Q = D = MD + + A + B + C + D A R A B C M Q Q = D B = MQ + M Q Q = D C = MQ + M Q Q = D = MQ + M D D B C D L,,,,, 当 M= 时, Q + A = D R, Q + B = D A, Q + + C = D B, Q D = D C, 实现右移 当 M= 时, Q + A = Q B, Q + + B = D C, + Q C = D D, Q D = D L, 实现左移

129 集成四位双向通用移位寄存器 74LS94A () 外引线排列图,,,,, 图中 :D A D B D C D D 为并行输入端 ; Q A Q B Q C Q D 为对应的并行输出端 ; D SR 和 D SL 分别为右移和左移串行输入端 ; CR 为直接清零端 ; S S 为工作模式控制端

130 集成四位双向通用移位寄存器 74LS94A (2) 逻辑状态表 CR S S 功能 说, 明,,, 清零 CR 为低电平时, 使 Q A Q B Q C Q D = 并行送数 CP 上升沿作用后, 并行输入的数据 D A D B D C D D 送入寄存器,Q A Q B Q C Q D =D A D B D C D D 右移 串行数据送到右移输入端 D SR, 在 CP 上升沿进行右移 左移 串行数据送到左移输入端 D SL, 在 CP 上升沿进行左移 保持 CP 作用后寄存器内容不变

131 集成四位双向通用移位寄存器 74LS94A (3) 应用电路 4 位顺序脉冲发生器连接图波形图,, 工作前首先在 S 端 加预置正脉冲, 使 S S =, 在移位脉冲作用下,Q A Q B Q C Q D = 预置脉冲过后, S S =, 寄存器处在右移状态

132 4.6.3 计数器 能对脉冲的个数进行计数的逻辑部件, 即计数器 计数器除了计数功能以外, 还可用于分频 定时等 按计数器数字的增加或减小分类, 可分为加法计数器 减法计数器和既能做加法又能做减法的可逆计数器 按脉冲引入方式的不同, 可分为同步计数器和异步计数器 按计数进制分类又可分为二进制计数器和非二进制计数器

133 二进制计数器 四个 JK 触发器组成的异步四位二进制加法计数器 () 逻辑图 :

134 二进制计数器的状态转换表 C Q A Q B Q C Q D CP

135 二进制计数器 四个 JK 触发器组成的异步四位二进制加法计数器 (3) 波形图 :

136 二进制计数器 四个 JK 触发器组成的异步四位二进制加法计数器 (4) 结论 : 四个 JK 触发器组成的异步四位二进制加法计数器每输入一个计数脉冲, 计数器输出的四位二进制数就加一 从波形图可以看出,Q A 波形的周期是计数脉冲 CP 的一倍,Q B 波形的周期又是 Q A 的一倍, 说明每经过一级触发器, 脉冲波形的周期就要增加一倍, 因此二进制计数器具有二分频作用 对 N 位二进制计数器, 第 N 个触发器的输出脉冲频率为计数器输入脉冲频率的 /2 N

137 集成 4 位二进制可逆计数器 74LS93 () 引线排列图 图中 A B C D 为预置数置入端 ;CLEAR 为清零 ( 复位 ) 端 ; 时钟输入端 CP+ CP- 分别可使计数器实现加计数和减计数 ; CO 为进位端, 当加数到 时发出一个负脉冲 BORRW 为借位端, 当减数到 时发出一个负脉冲

138 集成 4 位二进制可逆计数器 74LS93 (2) 功能表 输入 输 出 说明 CR LD CP+ CP- D C B A Q D Q C Q B Q A 清 d c b a d c b a 置数 按 4 位二进制规律加 加计数 按 4 位二进制规律减 减计数

139 十进制计数器 十进制计数器, 是一种用四位二进制代码表示的逢十进一的计数器, 使用最多的是 842 BCD 码十进制计数器 十进制计数器, 是一种用四位二进制代码表示的逢十进一的计数器, 使用最多的是 842 BCD 码十进制计数器

140 任意进制计数器 任意进制计数器 : 就是指 N 进制计数器, 即每来 N 个计数脉冲, 计数器状态重复一次 利用二进制或十进制计数器集成块, 经过适当地联结可以方便地构成 N 进制计数器 构成 N 进制计数器常用的方法有复位法和置数法

141 任意进制计数器 () 利用复位法和集成 4 位二进制可逆计数器 74LS93 构成十二进制计数器 : 它由初始状态 开始计数, 当计数到第 2 个脉冲时, 输出端 Q D Q C Q B Q A =,CR=, 立即使计数器复位, 使 Q D Q C Q B Q A =, 计数器又回到初始状态, 重新开始计数 由于 这个状态, 只是瞬间出现一下, 在 Q D Q C Q B Q A 复位为初始状态 后, 它就消失了, 因此计数器从 至 循环变化,2 个状态为一次循环, 是一个十二进制计数器

142 任意进制计数器 (2) 利用置数法和集成 4 位二进制可逆计数器 74LS93 构成十二进制计数器 : 它由初始状态 开始计数, 当计数到第 2 个脉冲时, 输出端 Q D Q C Q B Q A =,LD=, 立即对计数器置数, 使 Q D Q C Q B Q A =, 计数器又回到初始状态, 重新开始计数 由于 这个状态, 也只是瞬间出现一下, 在 Q D Q C Q B Q A 复位为初始状态 后, 它就消失了, 因此计数器从 至 循环变化,2 个状态为一次循环, 是一个十二进制计数器

143 4.7 存储器 4.7. 半导体存储器 其他存储器

144 概述 存储器用来存储二进制数, 是计算机和一般数字系统必不可少的 目前大量使用的有半导体存储器 磁盘存储器和光盘存储器等 根据存储功能分为只读存储器 (Read Oly Memory, 简称 ROM) 和随机存储器 (Radom Access Memory, 简称 RAM) 两大类

145 4.7. 半导体存储器 半导体存储器是用来存放大量二进制信息的一种大规模半导体数字集成电路, 它具有集成度高 存取速度快 体积小 功耗小 价格便宜和便于扩充等优点, 通常作为计算机的内部存储器使用 只读存储器 只读存储器是存储固定信息的存储器件, 即先把信息写入到存储器中, 然后存储器只能读出不能写入

146 4.7. 半导体存储器 只读存储器 ROM 的结构框图 地址译码器有 A ~A 条输入线 ( 称为地址线 ), 2 条输出线 ( 称为字选线 ) 存储矩阵是存储器的主体, 它用来存放二进制信息 存储矩阵的输出线 D ~D 为位线 ( 或数据线 ) 通常把存储器输出的 m 位二进制码称为一个 字

147 4.7. 半导体存储器 4 8ROM 电路 ROM 实质上是由与门阵列和或门阵列两个部分组成

148 4.7. 半导体存储器 4 8ROM 地址与字输出关系 字选线地址输入 字输出 A A D 7 D 6 D 5 D 4 D 3 D 2 D D W W W 2 W 3

149 4.7. 半导体存储器 ROM 的指标 : 存储容量 : 是存储器的主要技术参数, 可用字位数表示, 即字数乘每字的位数 如有一个字为 m 位, 则 位地址的存储器容量为 2 m 位, 例如 位 存储容量也可以用字节数表示, 每 8 个字位为 个字节, 例如 24 (k 字位 ) 相当于 28 个字节

150 4.7. 半导体存储器 2 随机存取存储器 (RAM) 随机存取存储器 (RAM) 可以任意选中某一地址的存储单元, 从该单元读取信息, 或写入新的信息, 因此也称为读写存储器 从存储单元中读出信息时, 原信息保存 ; 写入新信息时, 原信息由新信息替代, 即被刷新 根据原理的不同,RAM 可分为静态 动态两类 按照所用器件不同, 又可分为双极性 ( 采用晶体管 ) 和 MOS 型两种

151 4.7. 半导体存储器 MOS 型静态随机存取存储器 (RAM) 地址译码器 : 根据输入的地址码来选择欲进行读 / 写的字选线 存储矩阵 : 是由存储单元构成的存储体 读 / 写控制电路 : 用来决定对存储单元进行读出还是写入操作

152 4.7.2 其他存储器 磁存储器 磁存储器是将磁性材料沉积在盘片的基体上形成记录介质, 并以绕有线圈的磁头与记录介质的相对运动来写入或读出信息 它具有容量大 成本低, 断电后能保存信息等特点 根据结构不同磁存储器分为硬盘和软盘存储器 通常均用作计算机的外部存储器

153 4.7.2 其他存储器 () 硬盘 硬盘一般包括一组刚性的 圆盘状的盘片, 它们通常由铝或玻璃制成 硬盘是目前计算机使用的主要存储设备 计算机的操作系统, 应用软件和重要数据资料都存储在硬盘之中 大多数家用 PC 所使用的硬盘盘片直径一般都是 3. 5 英寸, 与软盘盘片的直径相同 但是硬盘存储容量远远超过了软盘

154 4.7.2 其他存储器 (2) 移动硬盘 移动硬盘通常是由一块笔记本电脑用的 2.5i 硬盘, 再配上接口电路和铝镁合金外壳而成 移动硬盘通常以 USB2. 作为标准接口, 最高峰值数据传输速率高达 48Mbps 移动硬盘具有超大存储容量 ( 高达几十到上百 GB) 和很高的数据传输速率, 而且体积小, 携带和使用非常方便, 且稳定性好, 因而适用于资料备份和转储, 网络资料下载存储, 照片影像留档, 歌曲 游戏收藏及桌面出版系统等

155 4.7.2 其他存储器 (3) 软盘 软盘盘片是一种圆形盘片, 以软质的塑料薄片为载体, 涂敷磁性材料作为记录介质 软盘的大小有 5.25 英寸,3.5 英寸等几种 移动硬盘软盘提供了一个写保护口 写保护是个非常有用的功能, 可防止误写操作, 也避免病毒对它的侵害 新的软盘使用前要进行格式化

156 4.7.2 其他存储器 2 光存储器 光存储器是以光学方式读写存储介质上的信息 光存储器也具有存储容量大 性价比高 读写速度快 数据稳定性好等优点, 特别适合于需要存储信息量大且需要长期保存的场合 光存储器由盘片和驱动器两部分组成 光存储器主要包括两类, 一类是只读型光盘, 常见的有 CD-Audio Video CD CD-ROM DVD-Video DVD-ROM 等 ; 另一类是可记录型光盘, 常见的有 CD-R CD-RW DVD-R DVD-RW 等各种类型

157 4.7.2 其他存储器 3 闪存 闪存 (Flash Memory) 也属于内存器件的一种, 是一种非挥发性 ( 简单说就是在不加电的情况下数据也不会丢失, 而目前常用的计算机内存都是属于挥发性内存 ) 的半导体存储芯片, 闪存具有体积小 功耗低 不易受物理破坏等优点, 是移动数码产品的理想存储介质 随着价格的不断下降以及容量 密度的不断提高, 闪存已经开始向通用化的移动存储产品发展 闪存可以分为闪存盘和存储卡两种

158 4.7.2 其他存储器 () 闪存盘 闪存盘也叫闪盘 优盘 U 盘, 是当前应用非常广泛的一种移动存储器, 它具有存储容量大 读写速度快 可靠性高 寿命长 体积小 重量轻 使用方便 便于携带等优点, 闪存盘包括闪存芯片 控制电路及外壳等几个组成部分 闪存盘以闪存芯片 (Flash) 作为存储介质, 闪存芯片是一种半导体特性, 它兼有 ROM 和 RAM 存储器的特性

159 4.7.2 其他存储器 (2) 存储卡存储卡也是以闪存芯片作为存储介质, 存储容量为 4MB~GB, 存储卡的种类很多, 最常应用的有 CF 卡 SM 卡 记忆棒 MMC 卡 SD 卡和 xd 等,CF 卡,SM 卡和记忆棒是目前的主流 数码照相机 MP3 随身听 数码录音笔等设备相当于一个闪存盘 读卡器是存储卡与 PC 或笔记本电脑之间连接的桥梁, 通过读卡器, 可以使 PC 或笔记本电脑直接和存储卡进行数据交换, 从而使存储卡成为移动存储器

160 *4.8 可编程逻辑器件 (PLD) 4.8. 可编程只读存储器 (PROM) 可编程阵列逻辑 (PAL) 通用阵列逻辑 (GAL)

161 概述 可编程逻辑器件 (Programmable Logic Device, 简称 PLD) 是 2 世纪 7 年代发展起来的一种新型逻辑器件 一般来说,PLD 器件是一种由用户配置的可完成某种逻辑功能的电路 大多数的 PLD 由一个与陈列和一个或阵列组成, 其最终的逻辑结构和功能由用户编程决定 : 可以对其中的一个阵列编程 ; 也可以同时对两个阵列编程

162 概述 可编程逻辑器件 (PLD) 的基本方框图 器件的输入送到与阵列完成与功能, 并生成与项 ; 与项又送至或阵列, 在或阵列中对各个与项进行组合, 从而产生器件的输出 PLD 包括 PROM PLA PAL GAL PGA 等, 还包括 CPLD 和 FPGA 等

163 4.8. 可编程只读存储器 (PROM) ROM 的阵列表示

164 4.8. 可编程只读存储器 (PROM) 输入缓冲门 与门的阵列表示 或门的阵列表示 ROM 的与阵列是不可编程的, 若或阵列即存储器内容由厂家根据用户的要求完全固定, 不能编程, 称为固定 ROM 固定 ROM 在使用中不能再修改存储内容

165 4.8. 可编程只读存储器 (PROM) PROM: 是一种可编程序的 ROM, 其或阵列是可编程的 PROM 在出厂时, 存储单元全是 ( 或全是 ), 使用时用户可根据需要, 将某些单元改写成 ( 或 ) 二极管和熔断丝组成的 PROM 存储单元 : 出厂时, 熔断丝都是通的, 即存储单元全部存 使用时, 如需要使某些单元改写为, 则只要给这些单元通过足够大的电流, 将熔断丝熔断即可 PROM 的内容只能写一次

166 4.8. 可编程只读存储器 (PROM) EPROM: 可擦写的 ROM, 可以通过紫外线或 X 射线重新写入新的存储内容 E 2 PROM: 电擦写的可编程只读存储器, 它允许擦写上百 甚至上万次, 编程一次 ( 先擦后写 ) 大约只需 2ms 时间

167 4.8. 可编程只读存储器 (PROM) PROM 的应用 : 由于 PROM 由一个与阵列和一个或阵列组成, 因此利用 PROM 可以方便地实现组合逻辑函数 [ 例 4.8.] 试用 PROM 实现逻辑函数 F=AB+BC+AC [ 解 ]() 该逻辑函数有三个输入变量, 一个输出变量, 所以可选用 3 条地址线和 条数据输出线的 PROM, 即选用 8 字位的 PROM (2) 为了使该函数所含的与项和与阵列的输出一致, 可以运用逻辑代数将它变换为 : F = ABC + AB C + A B C + A BC = W W 6 + W 5 W 3

168 4.8. 可编程只读存储器 (PROM) (3) 然后对 PROM 的或阵列编程 内部固定连接用. 表示, 被编程部分的连接用 表示 画出实现该组合逻辑电路的阵列图

169 4.8.2 可编程阵列逻辑 (PAL) PAL(Programmable Array Logic): 也由与阵列和或阵列组成, 但它的与阵列可编程而或阵列不可编程 因此在用 PAL 实现逻辑函数时, 每个输出是若干个与项之和, 而与项的数目是固定的 在 PAL 产品中, 一个输出的最多与项可达 8 个, 而且有多种输出结构

170 4.8.2 可编程阵列逻辑 (PAL) 具有反馈的寄存器输出结构的可编程阵列逻辑 PAL6R8 () PAL6R8 的引脚图 其中 : CLK 为时钟,I ~I 8 为 8 个输入端,O ~O 8 为 8 个输出端, OE 为输出控制 ( 使能 ) 端, 当 OE= 时,O ~O 8 输出数据, 当 OE= 时,O ~O 8 为高阻态

171 4.8.2 可编程阵列逻辑 (PAL) 具有反馈的寄存器输出结构的可编程阵列逻辑 PAL6R8 (2) PAL6R8 的电路结构示意图

172 4.8.2 可编程阵列逻辑 (PAL) [ 例 4.8.2] 用 PAL 实现的两位二进制减法计数解 : 两个 D 触发器的状态方程为 : S Q S Q Q S Q Q G D Q S Q S Q G D Q B B A B A B B B A A A A A + + = = = + = = = + + 当 S= 时 B A B A B A A Q Q Q Q Q Q Q + = = + +, 因为 : A A Q F = B B Q F = F B F A 的状态按 的规律变化, 这是一个两位二进制减法计数器 当 S= 时, F B F A 的状态不变

173 4.8.3 通用阵列逻辑 (GAL) 通用阵列逻辑 (Geeric Array Logic, 简称 GAL) 是 2 世纪 8 年代发展起来的一种 PLD 产品, 由于采用了 E2CMOS 制造工艺, 能够电擦写, 因而可重复编程 GAL 中与阵列是可编程的, 而或阵列是固定的 ( 不可编程 ), 但它的每个输出都有一个输出宏单元, 为逻辑设计提供了高度灵活性, 每个宏单元可由用户编程进行组态, 即输出完全由用户定义, 因而利用软 硬开发工具, 对 GAL 进行编程写入后, 可方便地实现所需要的组合电路或时序电路

174 4.8.3 通用阵列逻辑 (GAL) 通用阵列逻辑 GAL6V8 GAL6V8 的引脚图 其中 : 引脚 2~9( 共 8 个 ) 固定作为输入端, 还可以将其他 8 个脚配置成输入模式, 使输入端达到 6 个 引脚 2~9( 共 8 个 ) 的功能由编程情况决定,GAL 内部含有 8 个输出宏单元, 可以由用户根据所设计的逻辑电路的需要, 通过编程规定作为输出模式或输入模式 工作于输出模式既可以规定为寄存器输出 ( 时序逻辑输出 ), 也可以规定为组合输出

175 4.8.3 通用阵列逻辑 (GAL) [ 例 4.8.3] 用 GAL6V8 构成图的可双向移位的 6 位寄存器 [ 解 ] 图 (a) 是可双向移位的 6 位寄存器的原理示意图 ; 图 (b) 是实现 6 位移位寄存器的一种配置图

176 4.9 应用举例 位数字密码锁电路 带数字显示的七路抢答器

177 位数字密码锁电路 9 位数字密码锁电路图

178 位数字密码锁电路 ()CC47 十进制计数器 /~9 译码器该集成电路将计数器和译码器制作在一起, 其中 Q ~Q 9 是译码器的 个输入端,R 是复位端 ( 高电平时复位 ),CLK 是时钟脉冲输入端,EN 是时钟允许端 若将 EN 接低电平, 则计数器在时钟脉冲的上升沿计数, 计数结果经译码器译码后输出 右图是 Q ~Q 9 的输出波形

179 位数字密码锁电路 (2) 图示密码锁电路只要依次按动 S 3 S S 2 S 7 S S 6 S 2 S 4 S 9 各个键 ( 即开锁密码为 : ), 输出端 Q 的高电平就依次向 Q Q 2 Q 3 Q 9 移动, 最后用 Q 9 输出的高电平去驱动开锁电路, 完成开锁动作 改变 Q ~Q 9 与 S ~S 9 之间的接线, 就可改变开锁的密码 (3) 如果以 CC47 的 Q 5 作为输出端,Q 6 ~Q 9 端不用, 则为 5 位数的密码锁 同理, 若分别以 Q 6 ~Q 8 作为输出端, 则分别为 6~8 位的密码锁 (4) 图中还设置了一个开关 S A, 当 S A 闭合时无法开锁, 将 SA 安装于隐蔽处, 更增加保密性

180 4.9.2 带数字显示的七路抢答器 带数字显示的七路抢答器电路图

181 4.9.2 带数字显示的七路抢答器 带数字显示的七路抢答器电路图的单元电路 () 与非门 G 和 G 2 组成基本 RS 触发器 (2) 与非门 G 3 G 4 和 R 3 C 一起组成多谐振荡器用以产生方波信号 当与非门 G 3 的一个输入端 A 处于低电平时,G 3 的输出为高电平时,G 4 的输出为低电平, 多谐振荡器停止振荡 ; 当 A 端处于高电平时, 多谐振荡器利用 C 的反复充放电过程, 控制 G 3 门的开闭及 G 4 门的翻转, 形成自激振荡, 使 G 4 的输出端输出音频方波给扬声器

182 4.9.2 带数字显示的七路抢答器 带数字显示的七路抢答器电路图的单元电路 (3)CT74LS75 是上升沿触发的四 D 触发器, 在电路中用于锁存数据 (4)CT74LS248 七段译码器和七段显示器组成了译码 显示电路 图中 S ~S 7 是抢答按键,S R 是复位键 (5)CT74LS48 是编码器, 有 8 个输入,3 个输出

183 4.9.2 带数字显示的七路抢答器 带数字显示的七路抢答器电路图的工作原理 () 抢答之前先按一下复位键 S R, 使基本 RS 触发器置 ( 即 G 输出为 ),CT74LS248 的 BI=, 显示器七段全部熄灭 此时 S ~S 7 全部断开,CT74LS48 的输入 D ~D 7 均为 (D 没有用到, 也为 ), 故 G S =,E O =, 多谐振荡器停止振荡, 扬声器不发出声音

184 4.9.2 带数字显示的七路抢答器 带数字显示的七路抢答器电路图的工作原理 (2) 当 S ~S 7 有一个闭合时,CT74LS48 的 E O 变为, 多谐振荡器振荡, 扬声器发声 ;G S 变为, 基本 RS 触发器置,CT74LS248 的 BI 变为, 此时译码器正常译码 与此同时, 当基本 RS 触发器由 变为 时, 这个正跳变作用至 CT74LS75 的 CP 端, 使四 D 触发器锁存数据 此时显示器显示抢答组的组号 (3) 当主持人按下复位键, 使基本 RS 触发器复位, 电路重新进入初始状态, 抢答者才能继续进行

185 本章结束返回目录 第 5 章集成运算放大器

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 8 讲 ) 主讲 : 张国钢副教授西安交通大学电气工程学院 27 年春 4 锁存器和触发器 4. 基本概念 4.2 锁存器 4.3 触发器 27-3-2 4. 基本概念 Astable region 锁存器 (latch) 触发器 (Flip-Flop, 简称为 FF) 作用 : 都具有保存一位二值信息的功能 ; 特点 : 2 是时序逻辑电路的基本单元电路 有两种能自行保持的稳定状态,

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

计算机组成原理

计算机组成原理 Computer Orgaizatio Priciples 计算机组成原理 主讲教师 : 孙鑫 (suxi@ouc.edu.c) ( 信息学院南楼,B3 室 ) http://cvpr.ouc.edu.c/people/com/ For Studets of Computer 25 计算机硬件系统组成 ( 章节分配 ) 总线和I/O 接口第二部分 控制器 运算器 (5,6 章 ) 第三部分( 4 7

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

WinXP

WinXP 2014 行 测 知 识 点 详 解 班 课 程 讲 义 www.b2cedu.com 言 语 理 解 和 表 达 4 第 一 课 言 语 理 解 与 表 达 概 述... 4 第 二 课 : 逻 辑 填 空 实 词 填 空... 6 第 三 课 : 逻 辑 填 空 成 语 填 空... 9 第 四 课 : 阅 读 理 解 -- 表 面 主 旨... 12 第 五 课 : 阅 读 理 解 -- 隐

More information

山东2014第四季新教材《会计基础》冲刺卷第三套

山东2014第四季新教材《会计基础》冲刺卷第三套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 3 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

数字逻辑设计2013

数字逻辑设计2013 第三讲逻辑门电路 ogic Gte Circuit 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digitl/2spring 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2 如何做一个能计算的设备?

More information

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精 2015 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 中 医 综 合 科 目 试 题 解 析 一 A 型 题 :1~80 小 题, 每 小 题 1.5 分, 共 120 分 在 每 小 题 给 出 的 A B C D 四 个 选 项 中, 请 选 出 一 项 最 符 合 题 目 要 求 的 1. 提 出 阳 常 有 余, 阴 常 不 足 观 点 的 医 家 是 A 朱 丹 溪 B 刘 完

More information

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向 新 东 方 全 国 法 律 硕 士 ( 非 法 学 ) 联 考 模 拟 考 试 专 业 基 础 课 答 案 解 析 一 单 项 选 择 题 1. 答 案 D 本 题 主 要 考 查 刑 法 分 则 中 关 于 亲 告 罪 与 非 亲 告 罪 的 规 定 要 注 意 这 些 亲 告 罪 在 有 特 别 的 情 况 下, 是 公 诉 犯 罪 我 国 刑 法 共 规 定 了 5 种 告 诉 才 处 理 的

More information

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63>

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63> 福建师范大学协和学院 实验报告 课程名称 : 数字电子技术 系 别 : 信息技术系 专业 : 班级 : 学号 : 学生姓名 : 2014 年 9 月 1 日 实验项目列表 序号实验项目名称学时成绩指导教师 1 TTL 集成逻辑门的逻辑功能与参数 2 测试 2 组合逻辑电路的设计与测试 2 3 译码器和数据选择器 2 4 RS D JK 触发器 2 5 时序逻辑电路的测试及研究 2 6 计数器 MSI

More information

第9章内容提要

第9章内容提要 第 9 章脉冲单元电路 本章主要介绍了 (1) 脉冲信号 ( 矩形脉冲 ) 的波形及其参数 (2) 施密特触发器 单稳态触发器 多谐振荡器工作原理及其应用 (3) 用门电路构成施密特触发器 单稳态触发器 多谐振荡器的基本原理及主要参数计算 (4)555 定时器的电路结构和工作原理 (5) 用 555 定时器构成施密特触发器 单稳态触发器 多谐振荡器的电路结构和参数计算 教学基本要求掌握施密特触发器

More information

优合会计考点直击卷子之财经法规答案——第八套

优合会计考点直击卷子之财经法规答案——第八套 原 题 导 航 基 础 第 一 套 第 1 题 参 考 答 案 : C 试 题 评 析 : 在 社 会 主 义 市 场 经 济 条 件 下, 会 计 的 对 象 是 社 会 再 生 产 过 程 中 主 要 以 货 币 表 现 的 经 济 活 动 第 2 题 参 考 答 案 :B 试 题 评 析 : 在 权 责 发 生 制 下, 本 期 售 货 尚 未 收 到 销 售 货 款 属 于 当 期 收 入

More information

2013年3月国家教师资格统一考试

2013年3月国家教师资格统一考试 2016 年 导 游 资 格 考 试 导 游 基 础 模 拟 试 题 及 答 案 4 一 单 项 选 择 题 ( 请 选 择 一 个 正 确 答 案, 并 将 正 确 答 案 涂 在 答 题 卡 相 应 的 位 置 上 共 60 小 题, 每 小 题 0.5 分, 共 30 分 ) 1. 马 克 思 列 宁 主 义 同 中 国 实 际 相 结 合 的 第 二 次 历 史 性 飞 跃 的 理 论 成

More information

Microsoft PowerPoint - Chap_4.ppt

Microsoft PowerPoint - Chap_4.ppt 组合逻辑电路 第四章组合逻辑电路 梁华国电子科学与技术系 http://dwxy.hfut.edu.cn/ 概述 组合逻辑电路分析 组合逻辑电路设计 考虑特殊问题的逻辑设计 若干常用的组合逻辑电路 组合逻辑电路中的竟争 - 冒险 概述 组合逻辑电路 组合逻辑电路的定义 : 是指电路在任何时刻产生的稳定输出信号, 仅取决于该时刻电路的输入信号 a a a n 组合逻辑电路 y y y f a a a

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

数字逻辑与数字系统

数字逻辑与数字系统 数字逻辑与数字系统 胡伟邮箱 :whu@nju.edu.cn http://ws.nju.edu.cn/~whu 一 目的要求 数字逻辑是电子计算机技术的基础课程之一, 通过本课程的学习, 达到要求 : 1. 掌握数字电子技术的基本理论, 基础知识和基 本技能 2. 熟悉数字集成电路的工作原理, 特性和功能 3. 具备正确运用数字集成电路的能力 4. 掌握逻辑电路的分析方法和设计方法 二 与其他课程的关系

More information

Microsoft Word - page.doc

Microsoft Word - page.doc 全国高职高专规划教材 数字电路与逻辑设计 杨爱琴主编余根墀高志宏副主编 北 京 内容简介 本书共 8 章, 内容包括数字电路基础 组合逻辑电路 常用组合逻辑摸块及其应用 时序逻辑电路 常用时序逻辑摸块及其应用 脉冲产生电路及集成定时器 集成数 / 模和模 / 数转换器及其应用 可编程逻辑电路简介等 本书按照高职高专培养应用性 实用性人才的要求, 省略了集成电路的内部组成 结构和工作原理, 重点介绍集成电路的外部特性

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

北京2014年会计从业资格考试《会计基础》备考机试卷一

北京2014年会计从业资格考试《会计基础》备考机试卷一 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 北 京 2014 年 会 计 从 业 资 格 考 试 会 计 基 础 备 考 机 试 卷 一 1 单 项 选 择 题 ( 下 列 各 题 的 备 选 答 案 中, 请 从 中 选 出 一 个 最 符 合 题 意 的 答 案 本 类 题 共 20 个 小 题, 每 小 题 1 分, 共 20 分 多 选 错 选

More information

实 信 用 的 原 则 " 其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告

实 信 用 的 原 则  其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告 2012 年 司 法 考 试 模 拟 试 题 及 习 题 详 细 解 析 一 单 项 选 择 题, 每 题 所 给 的 选 项 中 只 有 一 个 正 确 答 案 本 部 分 1-50 题, 每 题 1 分, 共 50 分 1 甲 有 平 房 一 间 某 日, 甲 得 知 乙 将 于 该 平 房 南 建 高 楼 一 栋, 一 旦 高 楼 建 成, 该 平 房 即 无 阳 光 可 见 次 日, 甲 将

More information

第2章内容提要

第2章内容提要 第 2 章逻辑函数及其化简 内容提要本章是数字逻辑电路的基础, 主要内容包含 : (1) 基本逻辑概念, 逻辑代数中的三种基本运算 ( 与 或 非 ) 及其复合运算 ( 与非 或非 与或非 同或 异或等 ) (2) 逻辑代数运算的基本规律 ( 变量和常量的关系 交换律 结合律 分配律 重叠律 反演律 调换律等 ) (3) 逻辑代数基本运算公式及三个规则 ( 代入规则 反演规则和对偶规则 ) (4)

More information

<4D6963726F736F667420576F7264202D20332E313220D7A8D2B5D6F7B8C9BFCEB3CCBACDD6F7D2AAD7A8D2B5BFCEB3CCB5C4BDCCD1A7B4F3B8D9>

<4D6963726F736F667420576F7264202D20332E313220D7A8D2B5D6F7B8C9BFCEB3CCBACDD6F7D2AAD7A8D2B5BFCEB3CCB5C4BDCCD1A7B4F3B8D9> 西 北 师 范 大 学 计 算 机 科 学 与 技 术 专 业 课 程 教 学 大 纲 高 等 数 学 Ⅰ 教 学 大 纲 一 课 程 性 质 本 课 程 为 工 科 类 学 生 必 修 的 重 要 基 础 理 论 课 它 为 培 养 我 国 社 会 主 义 现 代 化 建 设 所 需 要 的 高 质 量 专 门 人 才 服 务 的 二 教 学 目 的 本 课 程 的 教 学 目 的 是 使 学 生

More information

= 3 + 1 7 = 22 7 3.14 = 3 + 1 7 + 1 15 +1 = 355 3.1415929 113 221221221221 136136136136 221000000000 221000000 221000 221 = 136000000000 136000000 136000 221 1000000000 1000000 1000 1 = 136 1000000000

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884 , : :,, : ( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 88415258( ) 787 1092 1 / 16 195 8 2004 10 1

More information

Digital System Design I

Digital System Design I 逻辑化简 刘鹏 浙江大学信息与电子工程系 Mar. 12, 2015 1 复习 逻辑公式和表达 公式法 本节内容 公式法化简 卡诺图化简 2 2014 ZDMC 与 -AND 条件同时具备, 结果发生 Y= A AND B = A&B = A B = AB 真值表 /truth table 图形符号 复习 A B Y 国标 0 0 0 0 1 0 1 0 0 1 1 1 国际 3 或 -OR 条件之一具备,

More information

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的 2016 考 研 政 治 真 题 答 案 及 解 析 ( 完 整 版 ) 来 源 : 文 都 教 育 一 单 选 题 1.B 解 析 此 题 考 查 的 是 适 度 原 则 AC 选 项 表 述 正 确 但 与 题 目 无 关 D 表 述 错 误, 现 象 表 现 本 质 的 只 有 B 与 题 干 相 符, 所 以 答 案 为 B 2.A 解 析 前 一 句 话 " 自 由 不 在 于 幻 想 中

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

<4D6963726F736F667420576F7264202D2032303136B3F5BCB6BBE1BCC6A1B6BFBCB5E3BEABBBAAA1B72E646F63>

<4D6963726F736F667420576F7264202D2032303136B3F5BCB6BBE1BCC6A1B6BFBCB5E3BEABBBAAA1B72E646F63> 注 : P3 表 示 考 点 在 教 材 第 3 页 ( 对 应 2016 版 教 材 ) 2016 年 初 级 会 计 实 务 考 点 精 华 第 一 章 资 产 第 一 节 : 货 币 资 金 资 产 的 定 义 分 类 ( 流 动 资 产 非 流 动 资 产 等 ) P1 库 存 现 金 : 是 指 存 放 于 企 业 财 会 部 门 由 出 纳 人 员 经 管 的 货 币 P1 现 金 结

More information

数字电子电路分析与应用 () 当医护人员治疗完全部呼叫病患后, 系统将自动恢复到待机状态 二 任务学习目标 知识目标 () 掌握组合逻辑电路的特点 () 掌握组合电路的分析 () 掌握组合电路的设计方法 () 掌握译码器 编码器等常用集成电路的设计使用 技能目标 () 熟悉多种电路元件和集成组合逻辑

数字电子电路分析与应用 () 当医护人员治疗完全部呼叫病患后, 系统将自动恢复到待机状态 二 任务学习目标 知识目标 () 掌握组合逻辑电路的特点 () 掌握组合电路的分析 () 掌握组合电路的设计方法 () 掌握译码器 编码器等常用集成电路的设计使用 技能目标 () 熟悉多种电路元件和集成组合逻辑 组合电路的应用 项目导读 数字电路按照逻辑功能的不同特点, 一般可分为组合逻辑电路和时序逻辑电路 本项目通过实例学习组合逻辑电路的应用 设计 制作等 在日常生活中, 我们经常遇到将数字信号编码 译码 显示的问题, 例如医院用的呼叫系统等 所以病房呼叫系统是一种对二进制数编码 译码并推动数码显示的电路 在知识拓展栏目还将学习常用的加法器和数值比较器等组合逻辑电路 任务 病房呼叫系统的设计 制作与调试

More information

本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么?

本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么? 第 6 章 异步时序电路 Video Image Processing (VIP) Research Group @ Fudan http://soc.fudan.edu.cn/vip/ 范益波 03.9 本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么? 本章要求

More information

2 A

2 A 1 2 A 3 AB 8 11 12 13 14 15 16 4 5 6 21 200 (l)20 (2)15 (3)10 7 8 9 10 11 11 12 14 15 12 13 14 15 16 17 18 19 20 21 17 18 203500 1500 500 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42

More information

untitled

untitled 2016 160 8 14 8:00 14:00 1 http://zj.sceea.cn www.sceea.cn APP 1 190 180 2 2 6 6 8 15 2016 2016 8 13 3 2016 2016 2016 0382 2 06 1 3300 14 1 3300 0451 5 01 2 7500 02 2 7500 05 ( ) 1 7500 1156 4 15 2 15000

More information

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音 國 中 國 文 B4:L7 考 試 卷 年 班 座 號 : 姓 名 : 一 國 字 及 注 音 1. 1 謹 ㄔˋ : 2 裝 ㄕˋ : 2. 1 ㄕㄨˊ 大 於 是 : 2 私 ㄕㄨˊ : 3. 歙 縣 : 4. 拘 泥 : 5. 不 宜 痴 : 6. 1 經 傳 : 2 傳 承 : 7. ㄏㄨㄟ 諧 : 8. 徽 州 : 9. 閒 ㄒㄧㄚˊ : 10. 康 ㄒㄧ : 11. 默 而 識 之 :

More information

untitled

untitled 2016 148 1 8 7 08:00 16:00 http://zj.sceea.cn www.sceea.cn APP 1 2 2 6 6 2016 2016 8 6 3 2016 2016 2016 0366 1 03 1 0391 2 54 ( ) 2 1256 7 02 1 03 1 07 2 18 2 21 1 1314 1 36 1 14000 / 20 1316 7 00 1 09

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :483 第十讲时序逻辑 时序元件 ( 锁存器 ) 佟冬 Microprocessor &D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2fall 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 :

More information

山东2014第四季新教材《会计基础》冲刺卷第二套

山东2014第四季新教材《会计基础》冲刺卷第二套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 2 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

高二立體幾何

高二立體幾何 008 / 009 學 年 教 學 設 計 獎 勵 計 劃 高 二 立 體 幾 何 參 選 編 號 :C00 學 科 名 稱 : 適 用 程 度 : 高 二 簡 介 一 本 教 學 設 計 的 目 的 高 中 立 體 幾 何 的 學 習 是 學 生 較 難 理 解 而 又 非 常 重 要 的 一 個 部 分, 也 是 高 中 教 學 中 較 難 講 授 的 一 個 部 分. 像 國 內 的 聯 校

More information

Ps22Pdf

Ps22Pdf 0146) : 2 /. :, 2004. 7 ISBN 7-80153 - 957-5.... G726. 9 CIP ( 2004) 069174 : 2 : : : : : : 2 : 100733 : 010-65369524 65369530 : : : 880mm 1230mm 1 /32 : 2800 : 122 : 5000 : 2006 8 1 2 : ISBN 7-80153 -

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

!"#$%"#$!& () #*("+$,# -+(&. )!""# $ $ $ $ $ $ $ $ $ !!!"#$%#$&!"#$% #" %#&# %# (%!) (&#"*%!!!!!!!!!!!!!!!!!!!!!!! " "# (&$")(!*+,*)-%$ ".%).(%/!!!!!!!!!!!!!!!!!!!!!!!!!!!! $ (&$")(!*+ &$*$(&$(!*+,*)-%$

More information

H 批发和零售业

H 批发和零售业 H 批 发 和 零 售 业 本 类 包 括 63 和 65 大 类 指 商 品 在 流 通 环 节 中 的 批 发 活 动 和 零 售 活 动 63 批 发 业 指 批 发 商 向 批 发 零 售 单 位 及 其 他 企 事 业 机 关 单 位 批 量 销 售 生 活 用 品 和 生 产 资 料 的 活 动, 以 及 从 事 进 出 口 贸 易 和 贸 易 经 纪 与 代 理 的 活 动 批 发 商

More information

Microsoft Word - cjfg_jy0201.doc

Microsoft Word - cjfg_jy0201.doc 第 二 章 支 付 结 算 法 律 制 度 考 情 分 析 本 章 在 历 年 考 试 中 所 占 的 分 值 比 重 为 20 35 分 左 右 围 绕 支 付 结 算 展 开, 分 别 介 绍 了 现 金 管 理, 银 行 存 款 管 理, 以 及 各 种 支 付 结 算 工 具 本 章 重 点 为 第 四 节, 难 度 稍 高, 需 要 考 生 在 理 解 的 基 础 上 适 当 记 忆 第

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在 國 二 國 文 範 圍 :B3: 第 二 課 美 猴 王 一 國 字 及 注 音 1. 拱 ㄈㄨˊ 無 違 : 2. 拍 手 稱 ㄧㄤˊ : 3. 詼 ㄒㄧㄝˊ 風 趣 : 4. ㄔㄢˊ 鬥 : 5. 搔 癢 : 6. ㄓㄤ 頭 鼠 目 : 7. 玩 ㄕㄨㄚˇ : 8. 石 竅 : 9. 採 花 ㄇㄧˋ 果 : 10. 長 途 ㄅㄚˊ 涉 : 11. 喜 不 自 勝 : 12. 進 ㄓㄨˋ 水 簾

More information

97 04 25 0970002232 97 12 31 1-7 1 2 1 0 1 0 1 0 1 0 1 0 1 0 1 2 24 A1. 0 1 ( 6 ) 2 ( 6 ) 3 4 A1a.? 5 6 0 1 A1b.? 0 1 2 A2. 0 1 A2b. A2c. A2a. A2d. 1 A3. 1 A4 2 0 A4 A3a.?? 0 A4 1 A3b. 0 A4 1 A3c.?? 1

More information

考试大2011年高考试题答案

考试大2011年高考试题答案 持 续 更 新 中... 一 单 项 选 择 题 ( 本 类 题 共 30 小 题, 每 小 题 1 分, 共 30 分 每 小 题 备 选 答 案 中, 只 有 一 个 符 合 题 意 的 正 确 答 案 多 选 错 选 不 选 均 不 得 分 ) 1. 甲 乙 签 订 的 买 卖 合 同 中 订 有 有 效 的 仲 裁 条 款, 后 因 合 同 履 行 发 生 的 纠 纷, 乙 未 声 明 有

More information

Ps22Pdf

Ps22Pdf 1 9 9 9 ( ) 063, ;,, ;,, ( CIP) / - :, 1998 10 ISBN 7 113 03130 7 T U 476 CIP ( 1998) 28879 : : : ( 100054, 8 ) : : : : 787 1092 1/ 16 : 15 : 383 : 1999 2 1 1999 2 1 : 1 : ISBN 7 113 03130 7/ T U 588 :

More information

, / / / 6 < / < / < : ; 7 5,, 8 8 6

, / / / 6 < / < / < : ; 7 5,, 8 8 6 ,,, -. /. / 0 3 < : 1 0. 1 2 - : 0 0 3 4 0 4 4 0 : =>8 0 =>8 4.?@= 0 0 5 5 5 4 4 0 : =>8 0 =>8 4.?@= 678.. 678 0 678 678 0 :?@= 4 =>8 5 =>8 4?@= 0 : 3 3 9 < 0 1. 0 : 4. 0 0 0 0 678 0678 678 0 0 4 4 678,

More information

台北市立成功高中九十學年度第一學期高三國文科期末考試題

台北市立成功高中九十學年度第一學期高三國文科期末考試題 台 北 市 立 成 功 高 級 中 學 一 0 二 學 年 度 第 一 學 期 範 圍 1. 課 本 : 第 五 冊 L13 典 論 論 文 第 六 冊 L1 諫 逐 客 書 L4 庖 丁 解 牛 2. 課 外 讀 本 : 與 吳 質 書 高 三 國 文 科 期 末 考 試 題 電 腦 卡 上 請 將 班 級 座 號 姓 名 劃 記 清 楚, 錯 誤 者 一 律 扣 十 分 一 單 一 選 擇 題

More information

2007 /,. :, 2006. 2 ISBN 7-89994 - 217-9. 2......... D0 2007 : : : : 2 : 100866 : http: / / www. wendu. com : 010-88422102 831, 832 : : : 850 1168 1 /

2007 /,. :, 2006. 2 ISBN 7-89994 - 217-9. 2......... D0 2007 : : : : 2 : 100866 : http: / / www. wendu. com : 010-88422102 831, 832 : : : 850 1168 1 / 2007 /,. :, 2006. 2 ISBN 7-89994 - 217-9. 2......... D0 2007 : : : : 2 : 100866 : http: / / www. wendu. com : 010-88422102 831, 832 : : : 850 1168 1 /32 : 4. 875 : 2006 3 2 2006 3 2 : ISBN 7-89994 - 217-9

More information

2013年国家司法考试模拟试卷与答案

2013年国家司法考试模拟试卷与答案 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 2013 年 国 家 司 法 考 试 模 拟 试 卷 与 答 案 一 单 项 选 择 题 每 题 所 设 选 项 中 只 有 一 个 正 确 答 案, 多 选 错 选 或 不 选 均 不 得 分 本 部 分 含 1 50 题, 每 题 1 分, 共 50 分 第 1 题 张 某 从 银 行 贷 得 80 万 元

More information

<4D F736F F F696E74202D20D0F7C2DB28B4F2D3A1B0E6292E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D0F7C2DB28B4F2D3A1B0E6292E BBCE6C8DDC4A3CABD5D> Digital Circuits and Systems 数字电路与系统 1 自我介绍 姓名 : 龚晓峰地址 : 创新园大厦 B509 邮件 :xfgong@dlut.edu.cn 科研 : 1. 阵列信号处理 2. 盲信号处理网页 :http://202.118.75.4/gong/ 课程邮箱 :u: digicircuits@126.com p: woyaokao100fen 2 Introduction

More information

2007年普通高等学校招生全国统一考试

2007年普通高等学校招生全国统一考试 高 考 语 文 陕 西 卷 试 题 以 及 答 案 解 析 本 试 卷 分 第 Ⅰ 卷 ( 选 择 题 ) 和 第 Ⅱ 卷 1 至 4 页, 第 Ⅱ 卷 5 至 8 页 考 试 结 束 后, 将 本 试 卷 和 答 题 卡 一 并 交 回 第 Ⅰ 卷 注 意 事 项 : 1. 答 题 前, 考 生 在 答 题 卡 上 务 必 用 直 径 0.5 毫 米 黑 色 墨 水 签 字 笔 将 自 己 的 姓

More information

<4D F736F F D20CAFDD7D6B5E7C2B7CAB5D1E9BDB2D2E5>

<4D F736F F D20CAFDD7D6B5E7C2B7CAB5D1E9BDB2D2E5> 实验一 TTL 集成门的测试与使用 一 实验目的 (1) 掌握 TTL 与非门 集电极开路门和三态门逻辑功能的测试方法 (2) 熟悉 TTL 与非门 集电极开路门和三态门主要参数的测试方法二 实验原理 1.TTL 集成与非门 实验使用的 TTL 与非门 74LS020( 或 T4020 T063 等 ) 是双 4 输入端与非门, 即在一块集成块内含有两个 互相独立的与非门, 每个与非门有 4 个输入端

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1 1 1 3 5 5 8 9 9 11 13 14 16 17 17 19 21 23 25 26 26 29 31 32 32 33 34 35 37 38 1 1. 2. 3. 1. 2. 3. 4. 5. 1 2 3 1. A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D. 23. 5 N 1 1 2 3 1. A. B. C. D.

More information

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能 Verilog HDL 数字系统设计 王建民田晓华 1 序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能力 硬件描述语言 (Hardware Description

More information

(Microsoft Word - 103\300\347\267~\266\265\245\330\245N\275X)

(Microsoft Word - 103\300\347\267~\266\265\245\330\245N\275X) A 大 農 林 漁 牧 業 一 分 說 明 1. 獸 醫 業 非 屬 公 司 法 商 業 登 記 法 所 管 轄, 不 納 入 本 大 2. 各 依 性 質 包 括 其 從 事 業 務 產 品 之 批 發 零 售 二 分 示 意 圖 大 中 小 A A1 農 業 A101 農 藝 及 園 藝 A101011 種 苗 業 業 A101020 農 作 物 栽 培 業 A101030 特 用 作 物 栽

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

2. 以 下 哪 部 是 我 国 古 代 的 地 理 学 巨 著? A. 梦 溪 笔 谈 B. 太 平 洋 广 记 C. 天 工 开 物 D. 水 经 注 D.[ 解 析 ] 本 题 考 查 文 学 知 识 太 平 广 记 是 宋 代 人 编 撰 的 一 部 书 籍, 取 材 于 汉 代 至 宋 初

2. 以 下 哪 部 是 我 国 古 代 的 地 理 学 巨 著? A. 梦 溪 笔 谈 B. 太 平 洋 广 记 C. 天 工 开 物 D. 水 经 注 D.[ 解 析 ] 本 题 考 查 文 学 知 识 太 平 广 记 是 宋 代 人 编 撰 的 一 部 书 籍, 取 材 于 汉 代 至 宋 初 真 题 园 ----http://www.zhentiyuan.com 2013 年 公 务 员 联 考 行 测 真 题 及 答 案 解 析 联 考 行 测 真 题 及 答 案 解 析 ( 贵 州 四 川 福 建 黑 龙 江 湖 北 山 西 重 庆 辽 宁 海 南 江 西 天 津 陕 西 云 南 广 西 山 东 湖 南 ) 十 六 省 第 一 部 分 常 识 判 断 ( 共 20 题, 参 考 时

More information

80 , 1993 45 000, 17, 70,160,,, :,, ;,,,,,,,,,, 2004 80,,,,2004 80 2004 80 2004, :,,,,, 2004,,,,, 2004 80, 1 ,,,,, : yqingg@hotmail.com 2004 80 2004 5 2 1 1 1 2004 2 8 2004 ( 2004 ) 12 13 13 13 14 14

More information

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn 1500 CIP 1500 /. 2006. 8 ISBN 7 5625 2128X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D920. 5 44 CIP 2006 087648 1500 388 430074 027 87482760 027 87481537 E-mail cbb@cug. edu. cn 2006 8 1 2006 8 1 850 1 168 1 /32 8. 625 220 26. 00 1.

More information

P Q 000 64-2 3 4 63 2 64 2 2 2 2 2 2 2 P = Q = PQRS = R = S = P Q P Q P Q 0 P Q P Q PQ PQ 0 0 0 0 0 0 0 0 0 A B C A BC B C A B A BC B C A B ABAABB ACAACB BCBABCBBBCCABCCB X X 0 ACB 0 ACB

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

Ps22Pdf

Ps22Pdf A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D B C D F G I J A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

九十六學年度第一學期第三次定期考國文科試題

九十六學年度第一學期第三次定期考國文科試題 凡 答 案 卡 上 因 個 人 基 本 資 料 畫 記 錯 誤 或 不 完 全, 造 成 讀 卡 過 程 無 法 判 定 身 分 者, 本 科 此 次 定 期 考 分 數 扣 3 分 一 單 選 題 ( 每 題 2 分 )36% 1.( 甲 ) 乃 覺 三 十 里 :ㄐㄩㄝˊ( 乙 ) 經 宿 方 至 :ㄙㄨˋ( 丙 ) 乾 癟 :ㄅㄧㄢˇ( 丁 ) 垂 髫 : ㄊㄧㄠˊ( 戊 ) 一 綹 短 髮

More information

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处 北 京 市 会 计 从 业 资 格 无 纸 化 考 试 财 经 法 规 与 会 计 职 业 道 德 上 机 考 试 题 库 ( 五 ) 考 试 时 间 :60 分 钟 一 单 项 选 择 题 ( 本 题 共 20 分, 每 小 题 1 分 每 小 题 只 有 一 个 正 确 答 案, 多 选 错 选 漏 选, 不 得 分 ) 1. 纳 税 人 生 产 规 模 较 小 产 品 零 星 税 源 分 散

More information

bingdian001.com

bingdian001.com 2015 ( ) 1 A. B. C. D. B A ; C ; D 2 A. B. C. D. B C ; D 3 2014 2 5 7 1 100 1.4 2014 12 31 9 1 2015 2 20 8 ;3 20 11.6 1 2015 A.260 B.468 C.268 D.466.6 B = 8+(11.6-9)*100+ (9-7)*100=468 4. A. B. C. D. C

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

CIP. / ISBN Ⅰ.... Ⅱ.... Ⅲ. Ⅳ. G CIP http / /press. nju. edu. cn

CIP. / ISBN Ⅰ.... Ⅱ.... Ⅲ. Ⅳ. G CIP http / /press. nju. edu. cn CIP. /. 004. 4 ISBN 7 305 0458 7 Ⅰ.... Ⅱ.... Ⅲ. Ⅳ. G64. 505 CIP 004 0798 0093 05 8359693 05 835937 05 83686347 http / /press. nju. edu. cn nupress@public. ptt. js. cn 787 09 /6. 5 85 004 5 ISBN 7 305 0458

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft Word - 2015司考真?行政法勘?大表.doc

Microsoft Word - 2015司考真?行政法勘?大表.doc 真 题 书 页 数 P220 P240 P241 P251 题 目 第 6 题 2015 司 考 真 题 行 政 法 与 行 政 诉 讼 法 勘 误 表 格 更 改 内 容 分 析 更 改 为 : 程 序 正 当 原 则 的 内 容 包 括 : 行 政 公 开 ; 公 众 参 与 和 公 务 回 避 选 项 A 中, 环 保 局 对 当 事 人 的 处 罚 听 证, 应 当 由 行 政 机 关 指

More information

例 009 年高考 全国卷Ⅱ 理 8 如 图 直 三 棱 柱 ABC ABC 中 AB AC D E 分 别为 AA BC 的中点 DE 平面 BCC 证明 AB AC 设二面角 A BD C 为 0o 求 BC 与平面 BCD 所 成角的大小 图 - 略 证明 以 D 为坐标原点 DA DC DD

例 009 年高考 全国卷Ⅱ 理 8 如 图 直 三 棱 柱 ABC ABC 中 AB AC D E 分 别为 AA BC 的中点 DE 平面 BCC 证明 AB AC 设二面角 A BD C 为 0o 求 BC 与平面 BCD 所 成角的大小 图 - 略 证明 以 D 为坐标原点 DA DC DD Education Science 教育科学 平面法向量在解立体几何题中的应用探究 梁毅麟 恩平市华侨中学 广东江门 59400 摘 要 几何发展的根本出路是代数化 引入向量研究是几何代数化的需要 随着平面法向量这个概念在新教 材的引入 应用平面法向量解决立体几何中空间线面位置关系的证明 空间角和距离的求解等高考热点问题的方法 更具灵活性和可操作性 其主要特点是用代数方法解决几何问题 无需考虑如何添加辅助线

More information

,,!!!?,?,!,,,,,,,,,,!,,, : 1 ,,,,!, :, :,?,,,, 2 ( 1 ) 7 0 ( 11 ) ( 12 ) ( 13 ) ( 14 ) ( 15 ) ( 17 ) ( 18 ) ( 19 ) ( 21 ) ( 22 ) ( 23 ) ( 25 ) ( 26 ) ( 27 ) ( 29 ) ( 30 ) ( 31 ) ( 32 ) ( 33 ) ( 34 ) (

More information

!! "#$% & ()*+,-. &/ 00 " %0#0 % 00 " %0#0 %1% 2 %1$ 2 % )869:;.,*8656<,*= 9*>? *> A6)5, B,55, C,*D, B6 E)*)7)55) " F9D,

!! #$% & ()*+,-. &/ 00  %0#0 % 00  %0#0 %1% 2 %1$ 2 % )869:;.,*8656<,*= 9*>? *> A6)5, B,55, C,*D, B6 E)*)7)55)  F9D, !!!!!!!!!!! !! "#$% & ()*+,-. &/ 00 " %0#0 % 00 " %0#0 %1% 2 %1$ 2 %13 4-+567)869:;.,*8656?884 2 @@@=.,*8656 A6)5, B,55, C,*D, B6 E)*)7)55) " F9D, G8)5H= !! "#$% "#& "# $ ())) "#& "##(!!

More information

50~56 I1. 1 A 2 3 I2. I2a. 1 2 3 4 5 ( ) I2b. 1 2 3 I2b1. 4 5 ( ) I3. 11 12 02 ( ) 1 2 (24 ) A1. 0 1 A2 A1a. ( ) A2. ( ) () () ( ) ------------------------------------------------------------------------------------------

More information

Microsoft Word - ZLI14A0-105

Microsoft Word - ZLI14A0-105 105 年 指 考 趨 勢 預 測 歷 史 考 歷 科 史 科 文 / 朱 詩 堯 老 文 師 / 朱 詩 堯 老 師 1 前 言 大 考 中 心 根 據 101 課 綱, 將 指 考 歷 史 科 測 驗 分 為 四 項 可 相 互 依 存 的 指 標 : 基 礎 知 識 文 本 閱 讀 歷 史 解 釋 資 料 證 據, 每 項 指 標 又 將 記 憶 閱 讀 分 析 推 證 等 能 力 納 入 一

More information

上编 专业基础课

上编  专业基础课 中国人民公安大学硕士研究生招生考试 C 语言程序设计和数字电子技术 考试大纲 ( 本大纲适用于公安技术一级学科安全防范工程二级学科招生初试 ) 2016 年 5 月修订 1 目录 Ⅰ. 考查目标... 3 Ⅱ. 考试形式和试卷结构... 3 Ⅲ. 考查内容... 4 第一部分 C 语言程序设计... 4 第二部分数字电子技术... 5 Ⅳ. 参考试题... 7 Ⅴ. 参考答案... 12 Ⅵ. 参考书目...

More information

中華民國青溪協會第四屆第三次理監事聯席會議資料

中華民國青溪協會第四屆第三次理監事聯席會議資料 - 1 - 中 華 民 國 第 八 屆 第 四 次 理 監 事 聯 席 會 議 程 序 表 日 期 中 華 民 國 1 0 4 年 1 2 月 1 9 日 ( 星 期 六 ) 地 點 臺 南 南 紡 夢 時 代 雅 悅 會 館 五 樓 ( 臺 南 東 區 中 華 東 路 一 段 366 號 ) 項 次 程 序 起 訖 時 間 使 用 時 間 主 持 人 或 報 告 人 報 到 16:30~17:00

More information

《米开朗琪罗传》

《米开朗琪罗传》 ! " # ! """"""""""""""""""" """"""""""""""""" """""""""""""""" $% """"""""""""" &# """"""""""""""" %# """"""""""""""" # """""""""""""""!$% """""""""""""""!&!! # $$$$$$$$$$$$$$$$$$ $$$$$$$$$!"#!%& (! "

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information