PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 课程代码 :483 第十讲时序逻辑 时序元件 ( 锁存器 ) 佟冬 Microprocessor &D Center tongdong@mprc.pku.edu.cn

2 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数 组合电路的化简 ( 门数和扇入 ) 开关函数的化简 卡诺图法 -M 方法 自顶向下的设计方法 标准组合电路模块及其设计 2

3 组合电路总结 分析 应用问题 文字规范说明 开关函数真值表时序图其它 功能描述 ( 开关函数 ) 化简 最小项范式 MOP 最大项范式 MPO 卡诺图,-M 最小覆盖 -M, Petrick 综合 开关电路 ( 门级网络 ) AND-O NAND O-AND NO AND-O-INV 其它 3

4 自顶向下的层次化设计方法学 设计划分 需要设计的模块 文字规范说明 可重用的模块 自顶向下 开关函数真值表时序图其它 化简 最小项范式 MOP 最大项范式 MPO 卡诺图,-M 最小覆盖 -M, Petrick 模块化标准组合电路 门级网络 模块连接 最终的电路设计 门级网络 自底向上 4

5 数字电路的分析与设计 模拟世界 A/D D/A 数字世界 编码 实现 应用问题 分析 设计者 知识 方法 工具 设计 分析 输入 存储 数字系统 门级网络 组合电路 时序电路 输出 5

6 存储元件 内存 只读存储器 (ead-only Memory, OM) OM, POM, EPOM, E 2 POM Flash 快速闪存存储器 随机访问存储器 (andom-access Memory, AM) 静态 AM(AM) 动态 AM DAM DAM DD/2/3 ambus 6

7 存储元件 辅助存储设备 磁盘 硬盘 软盘 磁带 存储和计算之间的速度瓶颈 7

8 芯片内部的存储元件 锁存器 (Latch) - 锁存器 D 锁存器 触发器 (Flip-flop) 主从 触发器 主从 D 触发器 主从 JK 触发器 边沿 D 触发器 边沿 JK 触发器 T 触发器 8

9 计算机内部的层次化存储结构 速度快 寄存器触发器 / 锁存器 静态存储器 本课程研究的内容 内部寄存器 高速缓存 Cache 动态存储器 主存 外部存储器 辅存 容量大 9

10 . 时序电路的引入 电梯控制电路的设计 当前状态 ( 现态,present state) 电梯所在的层数 电梯的运行方向 下一个状态 ( 次态,next state) 电梯将要运行的方向 电梯将要去的层数 输入 (input) 电梯内部的控制按钮 各楼层的电梯控制按钮 输出 (output) 对电梯电机系统发出的控制信号 状态转换 (state transition)

11 时序电路 带反馈 (feedback) 的电路 outputs = f(inputs, past inputs, past outputs) 在逻辑电路中构造 记忆 的基础 门组合锁例子 状态是记忆 状态是组合逻辑的输出和输入 存储单元的组合 value C C2 C3 multiplexer comparator mux control new equal reset comb. logic state clock equal open/closed

12 带反馈的电路 如何控制反馈? 如何从无限循环中停止? X X2 Xn 开关网络 Z Z2 Zn 2

13 时序电路的基本概念 状态 现态 次态 输入信号 输出信号 Moore 机 Mealy 机 状态变换 3

14 时序电路的表示 函数表示 输入 (x, x 2,, x n ) 输出 z=(z, z 2,, z m ) 组合电路 z i =f i (x, x 2,, x m ), i =,, m 现态 (y, y 2,, y r ) 次态 (Y, Y 2,, Y r ) 时序电路 z i =g i (x, x 2,, x m, y, y 2,, y r ), i =,, m Y i = h i (x, x 2,, x m, y, y 2,, y r ), i =,, m 4

15 函数表示 ( 续 ) 向量表示 z=g(x, y) Y=h(x, y) z,y, x, y 为向量表示 时间表示 y(t k ) 表示在 t 时刻 y 的值 y( t k ) y( k t) y k 5

16 时序电路的表示 图表示 x Combinational z logic x n z m (a) x z x n Combinational logic z m y y r Y r Y Memory (b) 6

17 状态表和状态图 状态图 圆 : 状态 线 : 状态变换 线上标注 : 产生状态变换的输入和相应输出 Present state Input x Present state x/z y Next state Y Input/output y Y/z Next state/output (a) (b) 7

18 状态的二进制表示 N 个状态可以用 n 位二进制表示 N 2 n 状态编码 状态的二进制表示需要优化 状态编码的优化 状态转换电路的优化 ( 组合电路化简 ) 8

19 状态变换实例 A / / C Input x Present state A B C D D/ B/ C/ A/ C/ A/ D/ B/ / / / / (a) / B / x/z D (b) 9

20 状态变换实例 ( 续 ) 输入 :x = 初始状态 :A Present state A B C D Input x D/ B/ C/ A/ C/ A/ D/ B/ 时间 : 现态 : A D B A D B B A C C C 输入 : 次态 : D B A D B B A C C C 输出 : (a) / / A C / / / / 输出 :z = 最后状态 :C / B / x/z D (b) 2

21 .2 存储元件 存储元件 (Memory device) 双稳态 (bistable) 电子线路 状态 状态 二进制的存储 状态, 表示存储逻辑 状态, 表示存储逻辑 输出, 指示存储元件的现态 2

22 存储元件 (2) 激励输入 (excitation inputs) 每个存储元件有多个输入, 能激励或者驱动存储元件进入确定的状态的输入, 被称为激励输入 一般的存储元件的命名是根据它与其它存储元件不同的激励输入 存储元件的类型 锁存器 (latch) 触发器 (flip-flop) 22

23 存储元件 (3) 锁存器 锁存器的激励输入控制元件的状态 置位锁存器 (set latch), 激励输入强制元件的输出为 复位锁存器 (reset latch), 激励输入强制元件的输出为 置位复位锁存器 (set-reset latch), 同时具有置位和复位激励信号的元件 23

24 存储元件 (4) 触发器 时钟控制信号 (clock) 时钟信号向触发器发命令, 触发器根据激励信号改变状态 在多触发器的电路中, 时钟信号可以使所有的触发器同步 (synchronized) 的改变状态 时钟树的概念 24

25 存储元件 (4) 锁存器和触发器的操作 锁存器立即响应 激励输入 et eset 触发器只依赖时钟 响应激励输入 et (a) eset Clock (b) 25

26 存储元件的特征性质 锁存器和触发器 预置 (preset) 端和清零 (clear) 端 同步的预置端和清零端 异步的预置端和清零端 脉冲 (pulse) 触发和边沿 (edged) 触发 正脉冲触发和负脉冲触发 上升沿触发和下降沿触发 26

27 .3 锁存器 或门 (O) 复习 a b f O (a, b) =a + b A B Y L L H H L H L H L H H H A B A B (c) Y Y (a) (b) (d) (a) 或门的逻辑功能 (b) 或门的电子功能 (c) 标准符号表示 (d) IEEE 块符号表示. 功能 (a) 正逻辑 : 输入同时为, 输出为 任意输入为, 输出为 (b) 通过的功能 27

28 锁存器 置位锁存器 (set latch) 利用输出信号的反馈形成锁存器 由 到, 变为 由 到, 不变 原因, 组合反馈将或门 锁住 (a) (b) (c) (d) 28

29 锁存器 (2) 复位锁存器 (reset latch) (a) = = (b) (c) = (d) (e) 29

30 锁存器 NO 结构 N N2 N N2 (a) (b) N N2 (c) (d) 3

31 锁存器 NAND 结构 N = = N2 = = (a) (b) (c) (d) (e) 3

32 锁存器的时序图和延时参数 et eset et Illegal inputs (a) Unknown values et eset et Illegal inputs (b) Unknown values 32

33 锁存器的状态行为 锁存器行为的真值表 ' ' ' hold unstable ' ' 33

34 锁存器的理论行为 ' 状态图 状态 : 可能的值 = = 状态转换 : 基于输入改变 可能在 和 状态简震荡 ' = = = = = = = ' ' = = = = ' = = = 34

35 可观测的 锁存器行为 观测 锁存器的 状态非常困难 通常 和 中的一个先变化 不明确返回状态 还是 ' = = = ' = = = ' = = = = ' = = = 35

36 锁存器的激励输入限制 置位端 和复位端 不能同时变为无效 产生信号追逐 (race) 输出将产生震荡 (oscillate) 必有一个门最终获胜, 锁存器达到稳态, 但是不能确定输出的结果 恢复时间 (ecovery Time, t rec ), 复位和置位有效信号间的最小时间 置位端 和复位端 的有效脉冲不能太短 进入亚稳态 (metastable) 状态 脉冲的宽度基本上要大于恢复时间 t rec 应该在设计电路时, 十分注意 36

37 亚稳态 (Metastable) 锁存器的两个稳态 : 逻辑 和逻辑 亚稳态为两个稳态之外的第三个平衡的状态 随着噪声的介入, 会在比较长的时间内变为稳态, 但是并不能确定稳态的类型 亚稳态的危害 : 不同的门对相同的亚稳态信号地解释, 逻辑 或者逻辑 并不一致 Metastable status 37

38 锁存器的传播延迟 ' t PHL (N ) t PLH ( to ) t PLH (N 2) t PHL ( to ) t PHL (N 2) t PLH (N ) 38

39 锁存器的激励表和特征方程 现态,* 次态 d d Excitation inputs Present state Next state * (b) No change eset et Not allowed - - (a) 特征方程 : * = + (c) 39

40 门控 (gated) 锁存器 C* C C C (a) (b) C* (c) C (d) et eset ' ' enable' ' 4

41 激励表和特征方程 Enable inputs C Excitation inputs Present state Next state * Hold No change eset et Not allowed dd, d C dd, d (a) (b) * = C + + C 4

42 D 锁存器 (Delay latch, D latch) 存储数据 D C (a) D D C C latch latch (b) (c) 42

43 D 锁存器特征 Enable input C Excitation input D Present state Next state * Hold tore tore d, CD d, (a) (b) * = DC + C 43

44 D 锁存器时序图 D C Enabled Hold Enabled Hold Enabled 44

45 锁存器总结 锁存器 N * = + N2 门控 锁存器 C* * = C + + C C C D 锁存器 D D C* * = DC + C C C latch 45

46 锁存器的总结 优点 : 速度比较快 采用的门比较少 缺点 : 不太安全 容易产生组合回路 进而产生震荡和不确定状态 如何解决不稳定的问题? 采用同步时钟 (clock) 46

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

数字逻辑设计2013

数字逻辑设计2013 数字系统逻辑设计 总复习 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2018spring 期末考试和大作业 Lab 检查 期末考试 : 日期 :2018 年 6 月 28 日 时间 : 14:00-16:00 地点 :2 教 203 提示 : 带铅笔和橡皮, 用于画电路图 大作业检查 日期 :6 月 21 日和 6 月

More information

数字逻辑设计2013

数字逻辑设计2013 第三讲逻辑门电路 ogic Gte Circuit 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digitl/2spring 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2 如何做一个能计算的设备?

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 : 0483000 第十八讲同步时序电路优化 () 佟冬 Microprocessor R& enter tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/0fall 课程回顾 状态等价性 定义 : 完全确定的时序电路中状态 S, S,, S j 被称为等价的, 当且仅当对于任意的输入序列, 将 S, S,, S

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 8 讲 ) 主讲 : 张国钢副教授西安交通大学电气工程学院 27 年春 4 锁存器和触发器 4. 基本概念 4.2 锁存器 4.3 触发器 27-3-2 4. 基本概念 Astable region 锁存器 (latch) 触发器 (Flip-Flop, 简称为 FF) 作用 : 都具有保存一位二值信息的功能 ; 特点 : 2 是时序逻辑电路的基本单元电路 有两种能自行保持的稳定状态,

More information

PowerPoint Presentation

PowerPoint Presentation 数字集成电路设计 时序逻辑电路设计 版权声明 : 本讲义中部分图表引用自 http://bwrc.eecs.berkeley.edu/icbook/index. htm 网站提供的教学素材 章节目录 简介 静态锁存器和寄存器 动态锁存器和寄存器 流水线技术 非双稳态时序电路 时钟策略选择 小结 时序逻辑 Inputs Current State COMBINATIONAL LOGIC Registers

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 : 483 第六讲开关函数的化简 卡诺图 佟冬 Microprocessor R& enter tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2fall 课程回顾 : 组合电路的分析与综合 分析应用问题 文字规范说明 开关函数真值表时序图其它 功能描述 最小项范式 SOP 最大项范式 POS 开关函数 化简??

More information

本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么?

本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么? 第 6 章 异步时序电路 Video Image Processing (VIP) Research Group @ Fudan http://soc.fudan.edu.cn/vip/ 范益波 03.9 本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么? 本章要求

More information

数字逻辑设计2013

数字逻辑设计2013 第七讲卡诺图 组合电路的化简 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/23spring 如何做一个能计算的设备? 人的计算方法 计算方法描述 ( 输入 输出 行为 ) 布尔函数 数据编码 积之和 SOP 真值表 和之积 POS? 开关电路 组合电路 计算设备 2 如何做一个能计算的设备? 人的计算方法 计算方法描述

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌 九 峰 吟 草 一 一 一 一 一 一 一 一 一 ~- - - 一 一 -- ~ - ~ ~ ~ ~ ~.. ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~. ~ ~. ~ ~ ~ ~ - ~ ~ ~ ~ ~ ~ 一 r 气 户 孜 犷 杯 只 匀 风 向 方 镇 忆 漾 阳 七 律 壕 江 两 岸 好 风 光, 古 史 连 篇 四 面 藏 典 语 南 桥 添 锦 绣, 泠 东 半 塔 裕 民 康 西

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

2012/07/01 陈 春 华 老 师 参 加 国 家 级 青 年 教 师 企 业 实 践 2012/07/03 20 名 教 师 前 往 12 家 企 事 业 单 位 短 期 实 践 2012/07/16 全 国 示 范 校 内 涵 建 设 暨 专 业 建 设 培 训 交 流 会 2012/07

2012/07/01 陈 春 华 老 师 参 加 国 家 级 青 年 教 师 企 业 实 践 2012/07/03 20 名 教 师 前 往 12 家 企 事 业 单 位 短 期 实 践 2012/07/16 全 国 示 范 校 内 涵 建 设 暨 专 业 建 设 培 训 交 流 会 2012/07 日 期 时 间 工 作 主 题 大 事 记 2012/02/20 签 订 计 算 机 应 用 全 国 数 字 化 资 源 开 发 协 议 2012 年 2 月 20 日 我 校 与 沈 阳 信 息 工 程 技 术 学 院 签 订 计 算 机 全 国 数 字 化 资 源 开 发 协 议 在 开 发 过 程 中 我 校 教 师 全 程 参 与, 提 供 各 种 需 求 以 及 素 材, 协 同 沈 阳

More information

本章内容 什么是同步时序电路? 什么是电路的状态? 状态需要 分配 与 化简 么? 如何估算电路的时序是否满足要求?

本章内容 什么是同步时序电路? 什么是电路的状态? 状态需要 分配 与 化简 么? 如何估算电路的时序是否满足要求? 第 4 章 同步时序电路 Video Image Processing (VIP) Research Group @ Fudan http://soc.fudan.edu.cn/vip/ 范益波 23.9 本章内容 什么是同步时序电路? 什么是电路的状态? 状态需要 分配 与 化简 么? 如何估算电路的时序是否满足要求? 本章要求 掌握同步时序电路的基本分析过程 掌握同步时序电路的设计原理 掌握状态表的化简过程

More information

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持 第 一 篇 知 己 知 彼, 百 战 不 殆 基 本 评 估 篇 第 一 章 认 识 自 我 我 就 是 一 座 金 矿 人 啊, 认 识 你 自 己! 塔 列 斯 ( 希 腊 学 者 ) 要 想 知 道 去 哪 儿, 必 须 先 知 道 你 现 在 在 哪 儿 和 你 是 谁 茜 里 娅. 德 纽 斯 ( 美 国 职 业 指 导 学 家 ) 本 章 提 要 了 解 认 识 自 我 在 职 业 生

More information

Microsoft Word - xiuxinduanyu-2-doc.doc

Microsoft Word - xiuxinduanyu-2-doc.doc 明 慧 专 题 文 章 汇 编 这 些 文 章 是 各 位 大 法 弟 子 作 者 在 各 自 在 修 炼 过 程 中 在 当 时 所 在 层 次 的 认 识 ; 我 们 收 集 成 册, 谨 供 不 经 常 访 问 明 慧 网 的 同 修 参 考 师 父 的 法 才 是 指 导 大 法 弟 子 修 炼 提 高 的 根 本, 广 泛 阅 读 明 慧 网 上 更 多 同 修 的 修 炼 交 流, 能

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

科別

科別 年 力 料 1 劉 列 來 說 (A) 勞 (B) 不 (C) (D) 什 什 1. 說 說 什 什 說 (B) 不 不 2. 兩 (B) 亂 () 路 滑 () 路 ()(D) 什 什 (B) 不 不 不 不 不 什 (B) 說 (D) 什 什 精 亂 ( 惡 )( 惡 ) 路 來 () 路 兩 亂 惡 年 力 料 3 列 (A) (B) (C) (D) 1. 念 都 (C)(A) 不 ( 參 )

More information

数字逻辑设计2013

数字逻辑设计2013 数字逻辑设计 Digital Logic Design 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2013spring 课程介绍 欢迎进入数字世界! 为什么学习逻辑设计? 显而易见的原因 本课程是计算机科学和计算机工程课程的一部分 是所有现代计算设备的实现基础 用小部件实现更大的系统 提供计算机如何工作的基础模型

More information

1 2032.1.16, 6:01 AM 2 2032.1.16, 6:01 AM 3 2032.1.16, 6:01 AM ( ) 4 2032.1.16, 6:01 AM 5 2032.1.16, 6:01 AM 6 2032.1.16, 6:01 AM 9 2032.1.16, 6:01 AM 10 2032.1.16, 6:02 AM 1 1 2009 7 2 3 2 2009 7 1

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

(Microsoft Word - \262\30440\266g-\253\312\255\261.doc)

(Microsoft Word - \262\30440\266g-\253\312\255\261.doc) 駐 堂 牧 師 : 陳 文 欽 主 任 牧 師 大 安 週 報 2016 10 月 洪 崇 錦 傳 道 2 日 你 們 要 向 耶 和 華 唱 新 歌! 全 地 都 要 向 耶 和 華 歌 唱! 要 向 耶 和 華 歌 唱, 稱 頌 祂 的 名! 天 天 傳 揚 祂 的 救 恩! 在 列 邦 中 述 說 祂 的 榮 耀! ( 第 40 主 日 ) 在 萬 民 中 述 說 祂 的 奇 事! - 詩 篇

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 档 案 局 2016 年 度 部 门 预 算 1 目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 年 度 市 级 部 门 财 政 拨 款 支 出 预

More information

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 2015 年 度 部 门 决 算 报 表 ( 含 三 公 经 费 决 算 ) 2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 上 级 补 助 收 入

More information

台 中 市 北 屯 區 東 山 里 橫 坑 9 林 志 明 0932-511633 巷 89-5 菜 豆 菜 大 漿 果 菜 豆 菜 大 漿 果 小 漿 果 核 果 柑 桔 9210065 103.2.21 107..19 210065 無 107.2.12 10 陳 錦 生 新 竹 市 香 山 區

台 中 市 北 屯 區 東 山 里 橫 坑 9 林 志 明 0932-511633 巷 89-5 菜 豆 菜 大 漿 果 菜 豆 菜 大 漿 果 小 漿 果 核 果 柑 桔 9210065 103.2.21 107..19 210065 無 107.2.12 10 陳 錦 生 新 竹 市 香 山 區 序 農 產 品 經 營 業 者 名 稱 1 劉 士 魁 2 林 東 詳 3 林 俊 堯 廖 本 源 5 林 碧 龍 10 年 驗 戶 名 冊 ( 生 產 加 工 分 裝 流 通 ) 地 址 彰 化 縣 永 靖 鄉 崙 子 村 九 分 路 192 巷 21 屏 東 縣 屏 東 市 空 翔 里 2 鄰 迪 化 一 街 67 台 中 市 太 平 區 育 誠 街 12 巷 1 屏 東 縣 屏 東 市 華 盛

More information

菩提道次第廣論

菩提道次第廣論 菩 提 道 次 第 广 论 下 士 道 ( 二 ) 深 信 业 果 18 ( 分 别 业 的 轻 重 3 ) 最 尊 贵 的 净 莲 上 师 讲 解 我 们 继 续 说 明 为 什 么 业 特 别 重 大 的 原 因 第 二 个, 是 它 所 依 门, 所 依 门 的 缘 故, 依 它 所 依 的 内 容 而 有 轻 重 的 差 别 第 一 个, 它 所 依 的 是 什 么 呢? 就 是 你 是 不

More information

路 上 沒 說 話, 車 子 被 爸 離 去 後 開 走 了, 沒 什 麼 變, 除 了 一 股 淡 淡 的 香 味, 我 不 太 習 慣, 像 空 氣 中 的 粉 塵, 左 飄 右 飄, 光 中 飛 舞 我 沒 提, 看 車 窗 外, 外 面 不 太 有 趣, 我 只 是 沒 事 幹, 我 們 本

路 上 沒 說 話, 車 子 被 爸 離 去 後 開 走 了, 沒 什 麼 變, 除 了 一 股 淡 淡 的 香 味, 我 不 太 習 慣, 像 空 氣 中 的 粉 塵, 左 飄 右 飄, 光 中 飛 舞 我 沒 提, 看 車 窗 外, 外 面 不 太 有 趣, 我 只 是 沒 事 幹, 我 們 本 午 睡 中 午, 門 鈴 又 響, 我 去 開 門 門 眼 外 的 玄 關 很 暗, 燈 關 上 了, 我 看 見 一 個 男 人 站 在 門 外, 低 著 頭, 我 猜 他 在 看 信, 他 的 髮 旋 對 著 我, 沒 有 表 情 有 些 陌 生, 我 打 開 內 門, 那 個 男 人 露 出 臉, 隔 著 鐵 門 露 出 微 笑, 我 說 爸, 他 回 一 聲 我 遲 疑 著 轉 開 鎖, 打

More information

繁 華 國 小 101 學 年 母 親 節 感 恩 惜 福 - 跳 蚤 市 場 暨 科 學 闖 關 遊 戲 親 子 活 動 實 施 計 畫 一 依 據 : 本 校 101 學 年 度 校 務 計 畫 及 行 事 曆 二 目 的 : 1. 培 養 學 生 感 恩 惜 物 知 福 惜 福 的 節 儉 觀

繁 華 國 小 101 學 年 母 親 節 感 恩 惜 福 - 跳 蚤 市 場 暨 科 學 闖 關 遊 戲 親 子 活 動 實 施 計 畫 一 依 據 : 本 校 101 學 年 度 校 務 計 畫 及 行 事 曆 二 目 的 : 1. 培 養 學 生 感 恩 惜 物 知 福 惜 福 的 節 儉 觀 屏 東 縣 繁 華 國 小 慶 祝 101 學 年 度 母 親 節 活 動 實 施 計 劃 壹 依 據. 一. 本 校 101 年 度 推 廣 教 育 優 先 區 親 職 教 育 及 社 區 化 教 育 辦 理 二. 本 校 101 學 年 度 第 二 學 期 行 事 曆 計 劃 辦 理 貳 目 的. 一. 建 立 正 確 的 現 代 父 母 角 色, 發 揮 親 職 教 育 之 功 能 二. 增

More information

45 45 67 1935 10 7 1937 4 6 1943 1945 4 1952 46 47 5 5 1 5 1979 1964 1949 1954 1966 1967 1 9 48 49 1960 70 12 16 1 2 3 4 5 6 1970 1964 1966 50 51 1993 2001 1990 1993 9 25 1950 6 5 7 96 811 12 8 10 1972

More information

育儿小故事(四)

育儿小故事(四) 9998.00 (1CD, ) I...1...2...4...6...7...8...9... 11...12...14...15...16...21...23...38...44...44...50...52...70...74...79...84...89...97 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25

More information

数字逻辑设计2013

数字逻辑设计2013 数字逻辑设计 Digital Logic Design 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2014spring 课程介绍 欢迎进入数字世界! 为什么学习逻辑设计? 显而易见的原因 本课程是计算机科学和计算机工程课程的一部分 是所有现代计算设备的实现基础 用小部件实现更大的系统 提供计算机如何工作的基础模型

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

!""#!$% & # &((! $% ) &((! %" & $!""# & # &((( )# &( &((! # &(((!*+ % *

!#!$% & # &((! $% ) &((! % & $!# & # &((( )# &( &((! # &(((!*+ % * +!" #!!$!%& ( % )% *) &!!) &!!( && &!!! " ""& + ""& ##" &!!% &( # !""#!$% & # &((! $% ) &((! %" & $!""# & # &((( )# &( &((! # &(((!*+ % * (!""#!""" $%%% &#% & $"! *!""! "#$!""! #%!% &% & &" ( #%!% % #)

More information

安全防范

安全防范 8989 Be Right TM Sigma 900 5/03 2003 ...1...4...8 1.1...8 1.2...9 1.2.1...9 1.2.2...12 1.3...12 1.4...12 1.4.1...12 1.4.2...13 1.4.3...14 1.5...15 1.6...16 1.7...16 1.7.1...17 1.7.2...17 1.7.3...18 1.7.4

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

untitled

untitled 立 益 數 路 1 TTL 數 IC 流 TTL 數 IC 7400 TTL( TTL, standard TTL) 74S00 TTL(Schottky TTL) 74LS00 率 TTL (Low power Schottky TTL) 74F00 TTL 74ALS00 TTL CMOS 數 IC CD4000 series CMOS 74C00 CMOS TTL CMOS 74HC00 CMOS

More information

医院管理法规(十六)

医院管理法规(十六) ... 1... 8... 11... 15... 18... 26... 40... 44 I ( )... 57... 60 ()... 62... 73... 74... 77 3... 80 (1 )... 82... 116 ( )... 117 ( )... 120 ... 131... 136... 143... 145... 148... 152... 156... 171 ( )...

More information

数字逻辑设计2016

数字逻辑设计2016 数字系统逻辑设计 Digital System Logic Design 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2016spring 机器人 - 人工智能 - 大数据 - 云计算 - 虚拟现实 Cloud 云 2 课程介绍 欢迎进入数字世界! 3 4 集成电路的功耗问题 (Power) 5 集成电路的功耗问题

More information

??ó-ê1ó? LOGO!

??ó-ê1ó? LOGO! LOGO! 2003 6 LOGO i LOGO! ISO 9001 LOGO! LOGO! LOGO! LOGO! OBA4 OBA0 OBA3 OBAX 4 LOGO! IT LOGO! LOGO! PC LOGO! PC LOGO! LOGO! Soft Comfort LOGO! PC Windows Linux Mac OS X LOGO! 9 LOGO! LOGO! LOGO! LOGO!

More information

* 孔 廟 大 門 * 孔 廟 * 坐 落 於 孔 廟 對 面 的 府 中 商 圈 * 有 許 多 隱 藏 在 小 巷 弄 裡 的 文 創 商 店 * 擁 有 百 年 歷 史 的 台 灣 文 學 館 逛 完 了 古 蹟 想 必 肚 子 也 餓 了, 午 餐 就 來 吃 台 南 最 出 名 的 棺 材

* 孔 廟 大 門 * 孔 廟 * 坐 落 於 孔 廟 對 面 的 府 中 商 圈 * 有 許 多 隱 藏 在 小 巷 弄 裡 的 文 創 商 店 * 擁 有 百 年 歷 史 的 台 灣 文 學 館 逛 完 了 古 蹟 想 必 肚 子 也 餓 了, 午 餐 就 來 吃 台 南 最 出 名 的 棺 材 美 食 隱 藏 版 推 薦 行 程 - 窮 學 生 台 南 古 蹟 巡 禮 二 日 遊 路 線 : 第 一 天 : 清 祺 早 點 ( 早 餐 ) 東 菜 市 孔 廟 府 中 商 圈 台 灣 文 學 館 赤 崁 棺 材 板 正 興 街 海 安 路 阿 明 豬 心 花 園 夜 市 怪 獸 茶 舖 第 二 天 : 大 勇 街 鹹 粥 祿 記 水 晶 餃 國 華 街 安 平 古 蹟 安 平 老 街 觀 夕

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

数字逻辑设计2016

数字逻辑设计2016 数字系统逻辑设计 Digital System Logic Design 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2017spring 人工智能 - 大数据 - 物联网 - 云计算 - 网络安全 Cloud/Flog 云 / 雾 2 课程介绍 欢迎进入数字世界! 3 4 2016: 人工智能 AI 元年 5 AlphaGo

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 数字逻辑设计 Digital Logic Design 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2010fall 欢迎进入数字世界! 2 课程介绍 课程名称 : 数字逻辑设计 课程类型 : 本科生必修课 学生专业 : 计算机

More information

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 第 4 章数字集成电路 4. 逻辑代数运算规则 4.2 逻辑函数的表示与化简 4.3 集成门电路 4.4 组合逻辑电路 4.5 集成触发器 4.6 时序逻辑电路 4.7 存储器 *4.8 可编程逻辑器件 (PLD) *4.9 应用举例 概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 概述 集成电路是 6 年代初期发展起来的一种新型半导体器件

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 数字逻辑设计 Digital Logic Design 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 欢迎进入数字世界! 2 课程介绍 课程名称 : 数字逻辑设计 课程类型 : 本科生必修课 学生专业 : 计算机

More information

操作指导手册

操作指导手册 GLI P53 C P53 ph/orp 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3 1 2 3 70 3 GLI P53 GLI GLI GLI GLI GLI GLI 4 GLI ph ph

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

MATLAB 1

MATLAB 1 MATLAB 1 MATLAB 2 MATLAB PCI-1711 / PCI-1712 MATLAB PCI-1711 / PCI-1712 MATLAB The Mathworks......1 1...........2 2.......3 3................4 4. DAQ...............5 4.1. DAQ......5 4.2. DAQ......6 5.

More information

Model P53 pH-ORP Analyzer CH.doc

Model P53 pH-ORP Analyzer CH.doc 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 安恒公司 http://www.watertest.com.cn Tel:010-88018877( 北京 ) 021-63176770( 上海 ) 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3

More information

( ) 16. 老 年 人 因 老 化 現 象 導 致 聽 力 較 差, 溝 通 時 應 以 高 頻 率 音 調 說 話 較 佳 編 碼 :01743 出 處 :0105 來 源 : 課 本 ( ) 17. 老 年 人 因 為 對 甜 鹹 的 味 覺 遲 鈍, 因 此 口 味 會 偏 重 此 時 可

( ) 16. 老 年 人 因 老 化 現 象 導 致 聽 力 較 差, 溝 通 時 應 以 高 頻 率 音 調 說 話 較 佳 編 碼 :01743 出 處 :0105 來 源 : 課 本 ( ) 17. 老 年 人 因 為 對 甜 鹹 的 味 覺 遲 鈍, 因 此 口 味 會 偏 重 此 時 可 高 中 健 康 與 護 理 ( 乙 版 )Ⅰ 第 一 章 我 的 健 康 我 作 主 第 五 節 面 對 老 化 Are you ready? 一 是 非 題 : 共 29 題 編 碼 :01727 出 處 :0105 來 源 : 課 本 ( ) 1. 聯 合 國 衛 生 組 織 訂 定 標 準 70 歲 以 上 即 稱 為 老 人 編 碼 :01728 出 處 :0105 來 源 : 課 本 (

More information

97 04 25 0970002232 97 12 31 1-7 1 2 1 0 1 0 1 0 1 0 1 0 1 0 1 2 24 A1. 0 1 ( 6 ) 2 ( 6 ) 3 4 A1a.? 5 6 0 1 A1b.? 0 1 2 A2. 0 1 A2b. A2c. A2a. A2d. 1 A3. 1 A4 2 0 A4 A3a.?? 0 A4 1 A3b. 0 A4 1 A3c.?? 1

More information

有關馬拉松(42

有關馬拉松(42 DAVID 馬 拉 松 的 心 路 歷 程 扁 平 一 族 從 健 走 競 走 到 愛 好 路 跑 的 姚 大 維 ( 內 湖 捷 豹 路 跑 社 ) http://www.taipeimarathon.org.tw/story97/yaodavid.htm 2008 年 的 路 跑 目 標 設 立 皆 全 部 完 成 參 與 健 行 前 修 飾 之 後 的 我 內 湖 捷 豹 路 跑 社 社 員

More information

# #$$%& ()*+, -$. #-# / & 0 & 0 #& $& 1 #.& /# 2(3 #$$# $..-$ #$ 0 0 $$$$4 0 0 %# 0-5$ 6 /-0 /0 #$ 0 5$$$ #$$% 0 0 #$$% ()*+, -$. #-# / 7, $8 $$

# #$$%& ()*+, -$. #-# / & 0 & 0 #& $& 1 #.& /# 2(3 #$$# $..-$ #$ 0 0 $$$$4 0 0 %# 0-5$ 6 /-0 /0 #$ 0 5$$$ #$$% 0 0 #$$% ()*+, -$. #-# / 7, $8 $$ # #$$%& ()*+, -$. #-# / & 0 & 0 #& $& 1 #.& /# 2(3 #$$# $..-$ #$ 0 0 $$$$4 0 0 %# 0-5$ 6 /-0 /0 #$ 0 5$$$ #$$% 0 0 #$$% ()*+, -$. #-# / 7,50 0 0 $8 $$ # # $ $ % % & & ( ( ) ) ## * #* + #+, & - &&. &$ /

More information

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 WV-CU950/G WV-CU650/G CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 S3125A 3 4 5 6 7 8 9 #9 $0 #8 $1 $2 $3 r q w e t $4 i u!0 y WV-CU950!1!3!4!7!6!5!8 @0!9 @3 @2 @1!2 o ALARM ACK ALM RESET ALM SUSPEND ALM

More information

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能 Verilog HDL 数字系统设计 王建民田晓华 1 序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能力 硬件描述语言 (Hardware Description

More information

数字逻辑设计2013

数字逻辑设计2013 第四讲 Verilog, FPGA, Lab 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/28spring 课程回顾 : 布尔函数 将一个开关函数 f 对于其变量每种可能取值的结果用表的形式表示 对应逻辑 真 ; 对应逻辑 假 三个基本函数 : 与 (AND) 或 (OR) 非 (NOT) 的真 值表 a b f(a,

More information

P8

P8 活 力 英 语 短 篇 悦 读 ( 小 学 4) 参 考 译 文 1 1. 猫 猫 狗 狗 猫 和 狗 是 跟 人 类 最 亲 近 的 动 物 它 们 有 很 多 共 同 点, 不 过 我 们 要 谈 的 是 它 们 的 不 同 之 处 狗 会 汪 汪 吠, 猫 会 喵 喵 叫 狗 像 狼, 猫 像 虎 狗 白 天 活 动, 猫 夜 间 活 动 狗 爱 跑 跳 猫 喜 欢 咕 噜 咕 噜 叫, 还

More information

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63>

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63> 福建师范大学协和学院 实验报告 课程名称 : 数字电子技术 系 别 : 信息技术系 专业 : 班级 : 学号 : 学生姓名 : 2014 年 9 月 1 日 实验项目列表 序号实验项目名称学时成绩指导教师 1 TTL 集成逻辑门的逻辑功能与参数 2 测试 2 组合逻辑电路的设计与测试 2 3 译码器和数据选择器 2 4 RS D JK 触发器 2 5 时序逻辑电路的测试及研究 2 6 计数器 MSI

More information

穨0217視窗版導盲鼠操作手冊new.PDF

穨0217視窗版導盲鼠操作手冊new.PDF 1 2 3 4 5 6 7 8 KEYPRO COM 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 o p m q d f n c k l r k j e a b i g h j e * / >

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S35A Ct-

WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S35A Ct- CR-B8 Ct WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S35A...... Ct- Ct-3 Ct-4 3 Ct-5 Ct-6 Ct-7 3 4 5 6 7 8 9 J K L A B C D E F G H I M N O P Q R S T U J K L

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information