上编 专业基础课

Size: px
Start display at page:

Download "上编 专业基础课"

Transcription

1 中国人民公安大学硕士研究生招生考试 C 语言程序设计和数字电子技术 考试大纲 ( 本大纲适用于公安技术一级学科安全防范工程二级学科招生初试 ) 2016 年 5 月修订 1

2 目录 Ⅰ. 考查目标... 3 Ⅱ. 考试形式和试卷结构... 3 Ⅲ. 考查内容... 4 第一部分 C 语言程序设计... 4 第二部分数字电子技术... 5 Ⅳ. 参考试题... 7 Ⅴ. 参考答案 Ⅵ. 参考书目

3 Ⅰ. 考查目标 要求考生具有扎实的 C 语言程序设计基础, 具备开展科学研究的分析 判断和解决问题 的基本能力 考查学生是否具备安全防范技术 警务信息技术 视频图像侦查技术 警务网络通信技 术等相关专业领域研究生所必要的数字电子技术的基本理论与分析设计方法 具体包括 : 1 C 语言程序设计包括基本程序设计及主要算法设计等知识内容 ; 2 正确理解和掌握 C 语言程序设计的基本概念 基本原理和基本方法 ; 3 数字电子技术的基本概念和基本知识 ; 4 基本的组合与时序逻辑电路的分析及设计方法 ; 5 常用组合逻辑器件与时序逻辑器件的基本特性 应用及设计方法 ; 6 较强的知识拓展能力, 能够分析及设计较为综合的逻辑电路 Ⅱ. 考试形式和试卷结构 一 试卷满分及考试时间本试卷满分为 150 分, 考试时间为 180 分钟 二 答题方式答题方式为闭卷 笔试 三 试卷内容结构 C 语言程序设计 75 分数字电子技术 75 分四 试卷题型结构第一部分 : 1 单项选择题共 10 小题, 每小题 1 分, 共 10 分 2 读程序, 写结果, 共 4 小题, 每小题 5 分, 共 20 分 3 设计题共 3 小题, 每小题 15 分, 共 45 分第二部分 : 1 单项选择题共 10 小题, 每小题 1 分, 共 10 分 2 填空题共 20 个空, 每空 1 分, 共 20 分 3 简答题共 3 小题, 每小题 5 分, 共 15 分 4 分析设计题共 2 小题, 每小题 分, 共 30 分 3

4 Ⅲ. 考查内容 第一部分 C 语言程序设计 一 C 语言概述 1 了解程序设计语言的概念及特点 2 熟练掌握结构化程序设计的基本方法 二 基本数据类型 运算符与表达式 1 熟练掌握整型 字符型 实型等基本数据类型的概念 2 熟练掌握基本数据类型的运算符与表达式 三 顺序结构 1 熟练掌握 C 程序的基本结构 2 熟练掌握输入输出函数的基本使用方法 3 掌握关系运算符和关系表达式及逻辑运算符和逻辑表达式 三 输入输出函数 1 熟练掌握输入输出函数的基本使用方法 四 程序结构 1 熟练掌握 C 程序的基本逻辑结构 ( 顺序结构 选择结构 循环结构 ) 五 数组 1 熟练掌握数组的基本概念 2 掌握一维数组和二维数组的定义与使用六 函数 1 熟练掌握函数声明和函数定义 2 熟练掌握函数调用方法 ( 比如 : 递归调用 ) 3 熟练掌握函数参数传递方法 ( 比如 : 传值 传地址 传引用 ) 七 指针 1 了解指针的概念和指针变量的定义八 结构体 1 了解结构体变量的定义和使用九 文件 1 了解数据文件的打开 关闭及读取 2 掌握指针 结构体在文件操作中的应用 十 排序与查找 1 熟练掌握选择排序和冒泡排序算法 2 了解插入排序 快速排序 归并排序 基数排序及哈希表 4

5 第二部分 数字电子技术 一 数制和码制 1 数制 码制的概念, 不同数制之间的相互转换 ; 2 几种常用的编码及其规则与特点 二 逻辑代数基础 1 逻辑代数的三种基本运算 基本公式 常用公式和基本定理; 2 逻辑函数及其表示方法; 3 逻辑函数的公式化简法和卡诺图化简法; 4 具有无关项的逻辑函数及其化简方法 三 门电路 1 正逻辑和负逻辑的概念; 2 三极管深度饱和的条件, 三极管深度饱和时电路的参数计算 ; 3 TTL 反相器的电路结构 工作原理 静态输入特性和输出特性以及动态特性 ; 4 利用 TTL 反相器的输出特性, 计算带负载能力, 扇出系数 ; 5 COMS 反相器的电路结构 工作原理 静态输入特性和输出特性以及动态特性 ; 6 其他类型的 TTL 门电路和 COMS 门电路 ; 7 三态门 OC 门 OD 门和传输门的特点和用途 四 组合逻辑电路 1 组合逻辑电路的特点及描述方法 2 组合逻辑电路的分析方法和设计方法; 3 常用组合逻辑电路( 编码器 译码器 数据选择器 加法器 数值比较器等 ) 的逻辑功能 性能扩展及其使用方法 ; 4 利用集成器件的功能表, 分析和设计组合逻辑电路 ; 5 组合逻辑电路中的竞争 冒险现象产生的原因及其消除办法 五 触发器 1 电平触发 脉冲触发 边沿触发等各类触发器的电路结构与动作特点; 2 RS 锁存器 D 触发器 JK 触发器 T 触发器等各类触发器的逻辑功能及其描述方法 ; 3 不同逻辑功能的触发器之间的相互转换 六 时序逻辑电路 1 时序逻辑电路的特点及描述方法; 2 同步时序逻辑电路的分析方法和设计方法; 5

6 3 常用时序逻辑器件( 寄存器和移位寄存器 计数器 顺序脉冲发生器 序列信号发生器等 ) 的逻辑功能 性能扩展及其使用方法 ; 4 利用集成时序逻辑电路器件的功能表, 分析和设计时序逻辑电路 ; 5 电路自启动分析 七 脉冲波形的产生和整形 1 施密特触发器 单稳态触发器 多谐振荡器的工作原理及其应用; 定时器的电路结构 工作原理及其应用 ; 3 由 555 定时器构成的施密特触发器 单稳态触发器 多谐振荡器的工作原理 八 半导体存储器 1 半导体存储器的类型及其特点; 2 存储容量的表示方法; 3 存储器容量的扩展方法, 实现字扩展和位扩展 ; 4 利用存储器实现组合逻辑函数 九 可编程逻辑器件 1 可编程逻辑器件的分类 原理与应用; 2 分析 与 - 或 逻辑阵列的逻辑功能 ; 3 利用 与 - 或 逻辑阵列实现逻辑函数 十 数模和模数转换 1 A/D D/A 转换器的类型 工作原理 性能指标和使用方法 ; 2 A/D D/A 转换器的转换精度与转换速度 6

7 Ⅳ. 参考试题 第一部分 C 语言程序设计 一 单项选择题 : 下列每题给出的四个选项中, 只有一个选项是符合题目要求的 每小 题 1 分, 共 10 分 1 以下选项中, 能用作用户标识符的是 ( ) A.void B.6_6 C._0_ D.unsigned 2 已知 int j,i=1; 则执行 j=-i++; i 和 j 的值为 ( ) A.1,-1 B.1,1 C.2,-1 D.2,1 3 有以下程序, 程序运行后的输出结果是 ( ) { int a=1,b=0; printf("%d,",b=a+b); printf("%d",a=2*b); A.0,0 B.1,0 C.3,2 D.1,2 4 设有定义: int a=1,b=2,c=3;, 以下语句中执行效果与其它三个不同的是 ( ) A.if(a>b) c=a,a=b,b=c; B.if(a>b){c=a,a=b,b=c; C.if(a>b) c=a;a=b;b=c; D.if(a>b){c=a;a=b;b=c; 5 有以下定义语句, 编译时会出现编译错误的是 ( ) A. char a='a'; B.char a='\n'; C. char a='aa'; D.char a='*'; 6 有以下程序, 已知字母 A 的 ASCII 码为 65, 程序运行后的输出结果是 ( ) { char c1,c2; c1='a'+'8'-'4'; c2='a'+'8'-'5'; printf("%c,%d\n",c1,c2); A.E,68 B.D,69 C.E,D D. 输出无定值 7 有以下程序, 若要使程序的输出值为 2, 则应该从健盘给 n 输入的值是 { int s=0,a=1,n; scanf("%d",&n); do {s+=1; a=a-2; 7

8 while(a!=n); printf("%d\n",s); A. -1 B.-3 C. -5 D. 0 8 若有定义 : int a[ ][4]; 则 a[i][j] 前有 个元素 A. j*4+i B.i*4+j C.i*4+j-1 D. i*4+j+1 9 下面有关 for 循环的正确描述是 : ( ) A. for 循环只能用于循环次数已经确定的情况 B. for 循环是先执行循环体语句, 后判断表达式 C. 在 for 循环中, 可以用 break 语句跳出循环体 D. for 循环中, 可以包含 if 语句, 但必须用花括号括起来 10 以下不正确的描述是 : ( ) A. continue 语句的作用是结束本次循环的执行 B. break 语句只能在 switch 语句体内使用 C. 在循环体内使用 break 语句或 continue 语句的作用完全不同 D. 从多层循环嵌套中退出时, 可以使用 goto 语句 二 读程序, 写结果, 共 4 小题, 每小题 5 分, 共 20 分 1 程序运行后的输出结果是 :( ) { int a=1,b=7; do{ b=b/2;a+=b; while (b>1); printf("%d\n",a); 2. 下面程序的运行结果是 : int a=3,b=5; int max(int a,int b) {int c; c=a>b? a:b; return(c); {int a=10; int max(int a,int b); printf("%d",max(a,b)); 3 以下程序运行后的输出结果是:( ) #include <stdio.h> { int i,n[5]={0; 8

9 for(i=1;i<=4;i++){ n[i]=n[i-1]*2+1; printf("%d",n[i]); printf("\n"); 4 程序运行时, 若输入 < 回车 >, 则输出结果是 ( ) #include <stdio.h> { int s; scanf("%d",&s); while(s>0) { switch(s) { case 1:printf("%d,",s+5); case 2:printf("%d,",s+4); break; case 3:printf("%d,",s+3); default:printf("%d,",s+1);break; scanf("%d",&s); 三 设计题 : 共 3 小题, 每小题 15 分, 共 45 分 1 所谓 水仙花数 是指一个 3 位数, 其各位数字立方和等于该数本身 例如,153 是一个水仙花数, 因为 153= 编写 C 语言程输出所有的水仙花数并求所有水 仙花数之和 2 编写 2 子个函数, 分别完成求两个数的和求两个数中最大值 每个函数都有两个整 型参数, 且返回一个整数值, 用 函数调用子函数实现 3 用选择法对数组中的 10 个整数按由小到大排序 第二部分 数字电子技术 一 单项选择题 ( 每小题 1 分, 共 10 分 ) 1 以下描述一个逻辑函数的方法中,( ) 只能唯一表示 A. 表达式 B. 逻辑图 C. 真值表 D. 波形图 2 在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可 ( ) A. 接高电平 B. 接低电平 C. 悬空 D. 通过电阻接地 3 一个八位二进制减法计数器, 初始状态为 , 问经过 268 个输入脉冲后, 此计数器的状态为 ( ) A B C D

10 4 若要将一异或非门当作反相器( 非门 ) 使用, 则输入端 A B 端的连接方式是 ( ) A.A 或 B 中有一个接 1 B.A 或 B 中有一个接 0 C.A 和 B 并联使用 D. 不能实现 5 在时序电路的状态转换表中, 若状态数 N=3, 则状态变量数最少为 ( ) A.16 B.4 C.8 D.2 6 下列几种 TTL 电路中, 输出端可实现线与功能的门电路是 ( ) A. 或非门 B. 与非门 C. 异或门 D.OC 门 7 下列几种 A/D 转换器中, 转换速度最快的是 ( ) A. 并行 A/D 转换器 B. 计数型 A/D 转换器 C. 逐次渐进型 A/D 转换器 D. 双积分 A/D 转换器 8 存储容量为 8K 8 位的 ROM 存储器, 其地址线为 ( ) 条 A.8 B.12 C.13 D 个触发器构成的 8421BCD 码计数器, 共有 ( ) 个无效状态 A.6 B.8 C.10 D 以下哪一条不是消除竟争冒险的措施 ( ) A. 接入滤波电路 B. 利用触发器 C. 加入选通脉冲 D. 修改逻辑设计 二 填空题 ( 每空 1 分, 共 20 分 ) 1 时序逻辑电路一般由和两分组成 2 多谐振荡器是一种波形产生电路, 它没有稳态, 只有两个 3 数字电路中的三极管一般工作于 区和 区 4 四个逻辑变量的最小项最多有 个, 任意两个最小项之积为 定时器是一种用途很广泛的电路, 除了能组成 触发器 触发 器和 三个基本单元电路以外, 还可以接成各种实用电路 函数 6 用 的 ROM 芯片, 最多能实现 个输入 个输出的组合逻辑 7 对于 JK 触发器, 若 J=K, 则可完成 触发器的逻辑功能 ; 若 K=J=1, 则完成 触发器的逻辑功能 8 时序逻辑电路的输出不仅和 有关, 而且还与 有关 9 三态门的输出状态有 低电平 三种状态 10

11 10 采用 ISP 技术的 PLD 是先装配, 后 11 转换速度 和 是衡量 A/D 转换器和 D/A 转换器性能优劣的主要指标 三 简答题 ( 每小题 5 分, 共 15 分 ) 1 证明逻辑函数式: BC D D( B C )( AD B) B D 2 简述下图所示组合逻辑电路的功能 A & B & & Y C & 3 试述施密特触发器和单稳态触发器的工作特点 四 分析设计题 ( 共 30 分 ) 1 试列写下列 ROM 结构中 Y 2 Y 1 Y 0 的函数表达式, 并采用八选一数据选择器 74LS152 对 Y 2 Y 1 Y 0 重新实现 要求写出实现表达式, 并画出逻辑电路图 其中,ROM 地址译码 器中, 输入地址选中的列线为高电平 (10 分 ) 2 试用 JK 触发器和门电路设计一个十三进制的计数器, 要求体现逻辑抽象 状态化 简 状态方程 特性方程 驱动方程和输出方程等中间过程, 画出逻辑电路图, 并检查所设 计的电路能否自启动 (20 分 ) 11

12 Ⅴ. 参考答案 第一部分 C 语言程序设计 一 单项选择题 每小题 1 分, 共 10 分 1 C 2 C 3 D 4 C 5 C 6 A 7 B 8 B 9 C 10 B 二 读程序, 写结果, 共 4 小题, 每小题 5 分, 共 20 分 ,5,6,6,4,5,6, 三 设计题 : 共 3 小题, 每小题 15 分, 共 45 分 1 参考答案 { { int i,j,k,n,sum=0 ; for(n=100;n<1000;n++) i=n/100; j=n/10-i*10; k=n%10; if(n= =i*i*i+j*j*j+k*k*k ) { printf("flower is= %d \n",n) ; sum=sum+n; printf("the number is =%d",sum); 2 参考答案 { int sum(int x,int y); int max(int x,int y); int a,b; int c,d; scanf("%d%d",&a,&b); c=sum(a,b) ; d=max(a,b); printf("sum is %d, max is %d ",c,d) ; int sum(int x,int y) 12

13 { return(x+y); int max(int x,int y) { return (x>y? x: y) ; 3 参考答案 void sort(int array[],int n) {int i,j,k,t; for(i=0;i<n-1;i++) {k=i; for(j=i+1;j<n;j++) if(array[j]<array[k]) k=j; t=array[k];array[k]=array[i];array[i]=t; {int a[10],i; printf("enter the array\n"); for(i=0;i<10;i++) scanf("%d",&a[i]); sort(a,10); printf("the sorted array:\n"); for(i=0;i<10;i++) printf("%d ",a[i]); printf("\n"); 第二部分 数字电子技术 一 单项选择题 1 C; 2 A; 3 B; 4 B; 5 D 6 D; 7 A; 8 C; 9 A; 10 B 二 填空题 1 存储电路, 组合电路 2 暂稳态 3 截止, 饱和 4 16,0 5 施密特, 单稳态, 多谐振荡器 6 11,12 7 T,T 8 该时刻输入变量的取值, 电路原来的状态 9 高电平, 高阻态 10 编程 13

14 11 转换精度 三 简答题 1 证明: 左边 = BC D ( B C )( AD B) BC D BAD CAD CB B D = 右边 2 解: 逻辑函数表达式 : Y AB BC AC 真值表 : A B C Y 该电路为三人表决电路, 只要有 2 票或 3 票同意, 表决就通过 3 解: 施密特触发器 :( 1) 输入信号从低电平上升的过程中, 电路状态转换时对应的输入电平, 与输入信号从高电平下降过程中对应的输入转换电平不同 ;(2) 在电路状态转换时, 通过电路内部的正反馈过程使输出电压波形的边沿变得很陡 单稳态触发器 :( 1) 有稳态 暂稳态两个状态 ;(2) 在外界触发脉冲作用下, 能从稳态翻转到暂稳态, 暂稳态持续一段时间后, 自动回到稳态 ;(3) 暂稳态持续时间的长短取决于电路本身参数, 与触发脉冲的宽度和幅度无关 四 分析设计题 1 略 2 略 Ⅵ. 参考书目 1 谭浩强. C 语言程序设计 ( 第四版 ). 北京 : 清华大学出版社, 董健全, 丁宝康编著. 数据库实用教程 ( 第三版 ). 北京 : 清华大学出版社, 阎石. 数字电子技术基础 ( 第五版 ). 北京 : 高等教育出版社,

Ⅰ Ⅱ Ⅲ Ⅳ Ⅱ ~ Ⅲ !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

山东2014第四季新教材《会计基础》冲刺卷第三套

山东2014第四季新教材《会计基础》冲刺卷第三套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 3 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

untitled

untitled 2016 160 8 14 8:00 14:00 1 http://zj.sceea.cn www.sceea.cn APP 1 190 180 2 2 6 6 8 15 2016 2016 8 13 3 2016 2016 2016 0382 2 06 1 3300 14 1 3300 0451 5 01 2 7500 02 2 7500 05 ( ) 1 7500 1156 4 15 2 15000

More information

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向 新 东 方 全 国 法 律 硕 士 ( 非 法 学 ) 联 考 模 拟 考 试 专 业 基 础 课 答 案 解 析 一 单 项 选 择 题 1. 答 案 D 本 题 主 要 考 查 刑 法 分 则 中 关 于 亲 告 罪 与 非 亲 告 罪 的 规 定 要 注 意 这 些 亲 告 罪 在 有 特 别 的 情 况 下, 是 公 诉 犯 罪 我 国 刑 法 共 规 定 了 5 种 告 诉 才 处 理 的

More information

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精 2015 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 中 医 综 合 科 目 试 题 解 析 一 A 型 题 :1~80 小 题, 每 小 题 1.5 分, 共 120 分 在 每 小 题 给 出 的 A B C D 四 个 选 项 中, 请 选 出 一 项 最 符 合 题 目 要 求 的 1. 提 出 阳 常 有 余, 阴 常 不 足 观 点 的 医 家 是 A 朱 丹 溪 B 刘 完

More information

"!! ! " # $! $&% ! " # $ %! " # $ & () #$*!!* %(* %$* # + !""!!##!"$$ %!""# &# & "$ ( & )*+ % ),+!""! )!"") -! -., ( &!""*!!! /0,#&# "*!""- % &#!# *$# !"!" ## $""" % & (()*) )*+ (, -".""" % &,(/0#1.""

More information

上编 专业基础课

上编  专业基础课 中国人民公安大学硕士研究生招生考试 826 计算机网络和 C 语言程序设计 考试大纲 ( 本大纲适用于公安技术一级学科网络空间安全执法技术二级学科招生初试 ) 2018 年 7 月修订 1 目录 Ⅰ. 考查目标... 3 Ⅱ. 考试形式和试卷结构... 3 Ⅲ. 考查内容... 4 第一部分计算机网络... 4 第二部分 C 语言程序设计... 7 Ⅳ. 参考试题... 8 Ⅴ. 参考答案... 14

More information

Generated by Unregistered Batch DOC TO PDF Converter , please register! 浙江大学 C 程序设计及实验 试题卷 学年春季学期考试时间 : 2003 年 6 月 20 日上午 8:3

Generated by Unregistered Batch DOC TO PDF Converter , please register! 浙江大学 C 程序设计及实验 试题卷 学年春季学期考试时间 : 2003 年 6 月 20 日上午 8:3 浙江大学 C 程序设计及实验 试题卷 2002-2003 学年春季学期考试时间 : 2003 年 6 月 20 日上午 8:30-10:30 注意 : 答题内容必须写在答题卷上, 写在本试题卷上无效 一. 单项选择题 ( 每题 1 分, 共 10 分 ) 1. 下列运算符中, 优先级最低的是 A.

More information

CIP. / ISBN Ⅰ.... Ⅱ.... Ⅲ. Ⅳ. G CIP http / /press. nju. edu. cn

CIP. / ISBN Ⅰ.... Ⅱ.... Ⅲ. Ⅳ. G CIP http / /press. nju. edu. cn CIP. /. 004. 4 ISBN 7 305 0458 7 Ⅰ.... Ⅱ.... Ⅲ. Ⅳ. G64. 505 CIP 004 0798 0093 05 8359693 05 835937 05 83686347 http / /press. nju. edu. cn nupress@public. ptt. js. cn 787 09 /6. 5 85 004 5 ISBN 7 305 0458

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

第9章内容提要

第9章内容提要 第 9 章脉冲单元电路 本章主要介绍了 (1) 脉冲信号 ( 矩形脉冲 ) 的波形及其参数 (2) 施密特触发器 单稳态触发器 多谐振荡器工作原理及其应用 (3) 用门电路构成施密特触发器 单稳态触发器 多谐振荡器的基本原理及主要参数计算 (4)555 定时器的电路结构和工作原理 (5) 用 555 定时器构成施密特触发器 单稳态触发器 多谐振荡器的电路结构和参数计算 教学基本要求掌握施密特触发器

More information

民國八十九年台灣地區在校學生性知識、態度與行為研究調查

民國八十九年台灣地區在校學生性知識、態度與行為研究調查 84 年 台 灣 地 區 在 校 學 生 性 知 識 態 度 與 行 為 研 究 調 查 過 錄 編 碼 簿 題 號 變 項 名 稱 變 項 說 明 選 項 數 值 說 明 備 註 i_no 學 生 編 號 問 卷 流 水 號 location 學 校 所 在 縣 市 編 號 1 台 北 市 2 基 隆 市 3 台 中 市 4 台 南 市 5 高 雄 市 6 新 竹 市 7 嘉 義 市 21 宜 蘭

More information

!"#$%"#$!& () #*("+$,# -+(&. )!""# $ $ $ $ $ $ $ $ $ !!!"#$%#$&!"#$% #" %#&# %# (%!) (&#"*%!!!!!!!!!!!!!!!!!!!!!!! " "# (&$")(!*+,*)-%$ ".%).(%/!!!!!!!!!!!!!!!!!!!!!!!!!!!! $ (&$")(!*+ &$*$(&$(!*+,*)-%$

More information

= 3 + 1 7 = 22 7 3.14 = 3 + 1 7 + 1 15 +1 = 355 3.1415929 113 221221221221 136136136136 221000000000 221000000 221000 221 = 136000000000 136000000 136000 221 1000000000 1000000 1000 1 = 136 1000000000

More information

网C试题(08上).doc

网C试题(08上).doc 学习中心 姓名 学号 西安电子科技大学网络与继续教育学院 高级语言程序设计 (C) 全真试题 ( 闭卷 90 分钟 ) 题号一二三总分 题分 60 20 20 得分 一 单项选择题 ( 每小题 3 分, 共 60 分 ) 1.C 语言程序的基本单位是 A) 程序行 B) 语句 C) 函数 D) 字符 2. 下列四组选项中, 均是不合法的用户标识符的选项是 A)A B)getc C)include D)while

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

上编 专业基础课

上编  专业基础课 中 国 人 民 公 安 大 学 硕 士 研 究 生 招 生 考 试 计 算 机 基 础 和 C 语 言 程 序 设 计 考 试 大 纲 ( 本 大 纲 适 用 于 公 安 技 术 一 级 学 科 网 络 空 间 安 全 执 法 技 术 二 级 学 科 招 生 初 试 ) 2016 年 5 月 修 订 1 目 录 Ⅰ. 考 查 目 标... 3 Ⅱ. 考 试 形 式 和 试 卷 结 构... 3 Ⅲ.

More information

北京2014年会计从业资格考试《会计基础》备考机试卷一

北京2014年会计从业资格考试《会计基础》备考机试卷一 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 北 京 2014 年 会 计 从 业 资 格 考 试 会 计 基 础 备 考 机 试 卷 一 1 单 项 选 择 题 ( 下 列 各 题 的 备 选 答 案 中, 请 从 中 选 出 一 个 最 符 合 题 意 的 答 案 本 类 题 共 20 个 小 题, 每 小 题 1 分, 共 20 分 多 选 错 选

More information

民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 目 錄 壹 考 選 依 據 1 貳 考 ( 甄 ) 選 對 象 1 參 資 格 規 定 1 肆 員 額 及 專 長 類 別 2 伍 報 名 及 選 填 志 願 日 期 方 式 3 陸 選 填 官 科 (

民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 目 錄 壹 考 選 依 據 1 貳 考 ( 甄 ) 選 對 象 1 參 資 格 規 定 1 肆 員 額 及 專 長 類 別 2 伍 報 名 及 選 填 志 願 日 期 方 式 3 陸 選 填 官 科 ( 民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 期 程 表 日 期 執 行 項 目 3 月 1 日 (8 時 起 ) 至 3 月 21 日 (17 時 止 ) 網 路 報 名 並 完 成 列 印 3 月 22 日 (17 時 止 ) 各 校 承 辦 人 員 收 報 名 件 截 止 3 月 30 日 4 月 11 日 5 月 18 日 5 月 27 日 (17

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分 民 國 102 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 壹 依 據 : 依 民 國 102 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 計 畫 辦 理 貳 考 ( 甄 ) 選 對 象 : 具 中 華 民 國 國 籍, 尚 未 履 行 兵 役 義 務 之 役 男, 年 齡 在 32 歲 ( 民 國 70 年 1 月 1 日 以 後 出

More information

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

Ⅰ Ⅱ1 2 Ⅲ Ⅳ

Ⅰ Ⅱ1 2 Ⅲ Ⅳ Ⅰ Ⅱ1 2 Ⅲ Ⅳ 1 1 2 3 2 3 4 5 6 7 8 9 10 12 13 14 15 16 17 18 19 20 21 ~ 22 23 24 25 26 27 28 29 30 31 32 ~ 34 35 36 37 38 39 40 41 42 43 44 45 ~ 46 47 ~ ~ 48 49 50 51 52 54 55 56 57 58 59 60 61 62 63

More information

Ⅰ Ⅱ1 2 3 Ⅲ Ⅳ !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

Ⅰ Ⅱ1 2 Ⅲ Ⅳ

Ⅰ Ⅱ1 2 Ⅲ Ⅳ Ⅰ Ⅱ1 2 Ⅲ Ⅳ 1 2 1

More information

Ⅰ Ⅱ Ⅲ Ⅳ

Ⅰ Ⅱ Ⅲ Ⅳ Ⅰ Ⅱ Ⅲ Ⅳ !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884 , : :,, : ( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 88415258( ) 787 1092 1 / 16 195 8 2004 10 1

More information

2 A

2 A 1 2 A 3 AB 8 11 12 13 14 15 16 4 5 6 21 200 (l)20 (2)15 (3)10 7 8 9 10 11 11 12 14 15 12 13 14 15 16 17 18 19 20 21 17 18 203500 1500 500 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42

More information

2013年3月国家教师资格统一考试

2013年3月国家教师资格统一考试 2016 年 导 游 资 格 考 试 导 游 基 础 模 拟 试 题 及 答 案 4 一 单 项 选 择 题 ( 请 选 择 一 个 正 确 答 案, 并 将 正 确 答 案 涂 在 答 题 卡 相 应 的 位 置 上 共 60 小 题, 每 小 题 0.5 分, 共 30 分 ) 1. 马 克 思 列 宁 主 义 同 中 国 实 际 相 结 合 的 第 二 次 历 史 性 飞 跃 的 理 论 成

More information

没有幻灯片标题

没有幻灯片标题 指针作为函数参数 : 原因 : 1 需要修改一个或多个值,( 用 return 语句不能解决问题 ) 2 执行效率的角度 使用方法 : 在函数原型以及函数首部中需要声明能够接受指针值的形参, 具体的写法为 : 数据类型 * 形参名 如果有多个指针型形参, 则用逗号分隔, 例如 : void swap(int *p1, int *p2) 它说明了形参 p1 p2 是指向整型变量的指针 在函数调用时,

More information

优合会计考点直击卷子之财经法规答案——第八套

优合会计考点直击卷子之财经法规答案——第八套 原 题 导 航 基 础 第 一 套 第 1 题 参 考 答 案 : C 试 题 评 析 : 在 社 会 主 义 市 场 经 济 条 件 下, 会 计 的 对 象 是 社 会 再 生 产 过 程 中 主 要 以 货 币 表 现 的 经 济 活 动 第 2 题 参 考 答 案 :B 试 题 评 析 : 在 权 责 发 生 制 下, 本 期 售 货 尚 未 收 到 销 售 货 款 属 于 当 期 收 入

More information

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音 國 中 國 文 B4:L7 考 試 卷 年 班 座 號 : 姓 名 : 一 國 字 及 注 音 1. 1 謹 ㄔˋ : 2 裝 ㄕˋ : 2. 1 ㄕㄨˊ 大 於 是 : 2 私 ㄕㄨˊ : 3. 歙 縣 : 4. 拘 泥 : 5. 不 宜 痴 : 6. 1 經 傳 : 2 傳 承 : 7. ㄏㄨㄟ 諧 : 8. 徽 州 : 9. 閒 ㄒㄧㄚˊ : 10. 康 ㄒㄧ : 11. 默 而 識 之 :

More information

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的 2016 考 研 政 治 真 题 答 案 及 解 析 ( 完 整 版 ) 来 源 : 文 都 教 育 一 单 选 题 1.B 解 析 此 题 考 查 的 是 适 度 原 则 AC 选 项 表 述 正 确 但 与 题 目 无 关 D 表 述 错 误, 现 象 表 现 本 质 的 只 有 B 与 题 干 相 符, 所 以 答 案 为 B 2.A 解 析 前 一 句 话 " 自 由 不 在 于 幻 想 中

More information

《C语言程序设计》教材习题参考答案

《C语言程序设计》教材习题参考答案 教材名称 : C 语言程序设计 ( 第 1 版 ) 黄保和 江弋编著清华大学出版社 ISBN:978-7-302-13599-9, 红色封面 答案制作时间 :2011 年 2 月 -5 月 一 选择题 1. 设已定义 int a, * p, 下列赋值表达式中正确的是 :C)p=&a 2. 设已定义 int x,*p=&x;, 则下列表达式中错误的是 :B)&*x 3. 若已定义 int a=1,*b=&a;,

More information

实 信 用 的 原 则 " 其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告

实 信 用 的 原 则  其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告 2012 年 司 法 考 试 模 拟 试 题 及 习 题 详 细 解 析 一 单 项 选 择 题, 每 题 所 给 的 选 项 中 只 有 一 个 正 确 答 案 本 部 分 1-50 题, 每 题 1 分, 共 50 分 1 甲 有 平 房 一 间 某 日, 甲 得 知 乙 将 于 该 平 房 南 建 高 楼 一 栋, 一 旦 高 楼 建 成, 该 平 房 即 无 阳 光 可 见 次 日, 甲 将

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

CIP / ISBN Ⅰ. Ⅱ. Ⅲ. Ⅳ. G CIP /

CIP / ISBN Ⅰ. Ⅱ. Ⅲ. Ⅳ. G CIP / IP /. 2003. 10 ISBN 7-5077 - 0239-1 Ⅰ. Ⅱ. Ⅲ. Ⅳ. G726. 9 IP 2003 095885 11 100036 880 1230 1 /32 70 1680 2003 10 1 2003 10 1 0001 8000 140. 00 2000 2001 2002 2003!!!!!!!!!!!! 1!!!!!!!!!!! 18!!!!!!!!!!!

More information

!!!" #$ %& ()#*+ %,!" #--. #! % %! % %" & $! % $" # - #+$/0 - -*,/0 ). %*- #)%* #)%, 9:;"74 < #)*+ < 9:;"74 #- = #*0>? A7BC""7 D #)*+ #)

!!! #$ %& ()#*+ %,! #--. #! % %! % % & $! % $ # - #+$/0 - -*,/0 ). %*- #)%* #)%, 9:;74 < #)*+ < 9:;74 #- = #*0>? A7BC7 D #)*+ #) "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

第一部分 公共基础知识

第一部分  公共基础知识 2016 年 福 建 事 业 单 位 笔 试 真 题 解 析 完 整 版 (5 月 28 日 联 考 ) 针 对 地 市 : 漳 州 莆 田 泉 州 龙 岩 福 州 第 一 部 分 公 共 基 础 知 识 根 据 题 目 要 求, 在 四 个 选 项 中 选 出 一 个 正 确 答 案 ( 共 30 题, 每 题 0.9 分, 计 27 分 ) 1 2016 年 1 月, 中 共 中 央 政 治 局

More information

山东2014第四季新教材《会计基础》冲刺卷第二套

山东2014第四季新教材《会计基础》冲刺卷第二套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 2 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

WinXP

WinXP 2014 行 测 知 识 点 详 解 班 课 程 讲 义 www.b2cedu.com 言 语 理 解 和 表 达 4 第 一 课 言 语 理 解 与 表 达 概 述... 4 第 二 课 : 逻 辑 填 空 实 词 填 空... 6 第 三 课 : 逻 辑 填 空 成 语 填 空... 9 第 四 课 : 阅 读 理 解 -- 表 面 主 旨... 12 第 五 课 : 阅 读 理 解 -- 隐

More information

CIP ISBN X Ⅰ. Ⅱ.1 2 Ⅲ Ⅳ.1D D921 CIP ISBN X D htp cbs.pku.edu.cn

CIP ISBN X Ⅰ. Ⅱ.1 2 Ⅲ Ⅳ.1D D921 CIP ISBN X D htp cbs.pku.edu.cn CIP. 2006.1 ISBN7-301-04643-X Ⅰ. Ⅱ.1 2 Ⅲ.1-2 - 3 - Ⅳ.1D911.012D921 CIP 2001 06177 ISBN7-301-04643-X D 0487 205 100871 htp cbs.pku.edu.cn 62752015 62750672 62752027 pl@pup.pku.edu.cn 890 1240 A5 11.625

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

ⅠⅡ 1 2Ⅲ 1 2 Ⅳ

ⅠⅡ 1 2Ⅲ 1 2 Ⅳ ⅠⅡ 1 2Ⅲ 1 2 Ⅳ Ⅲ Ⅳ Ⅴ ~ ~ Ⅰ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~

More information

!!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 "

!!# $ %# & $$ % $()! *% $!*% +,-. / 0 %%# 0 $%1 0 * $! $#)2 ! """"""""""""""""""" " !!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 " !"#$%#$&!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%& (& #) *+&,"-./%0 1 2"0*-"3* #4 5%&6&4"&00 78 9+& :"/;& 7< 9+& =#4-%%/

More information

Microsoft Word - cjfg_jy0201.doc

Microsoft Word - cjfg_jy0201.doc 第 二 章 支 付 结 算 法 律 制 度 考 情 分 析 本 章 在 历 年 考 试 中 所 占 的 分 值 比 重 为 20 35 分 左 右 围 绕 支 付 结 算 展 开, 分 别 介 绍 了 现 金 管 理, 银 行 存 款 管 理, 以 及 各 种 支 付 结 算 工 具 本 章 重 点 为 第 四 节, 难 度 稍 高, 需 要 考 生 在 理 解 的 基 础 上 适 当 记 忆 第

More information

期中考试试题讲解

期中考试试题讲解 一 选择题 ( 一 ) 1. 结构化程序设计所规定的三种基本结构是 C A 主程序 子程序 函数 B 树形 网形 环形 C 顺序 选择 循环 D 输入 处理 输出 2. 下列关于 C 语言的叙述错误的是 A A 对大小写不敏感 B 不同类型的变量可以在一个表达式中 C main 函数可以写在程序文件的任何位置 D 同一个运算符号在不同的场合可以有不同的含义 3. 以下合法的实型常数是 C A.E4

More information

Ps22Pdf

Ps22Pdf A B C D A B C D A B C D a a b c x x x x x x x x x x x x x x x x x a b c x a x x x x x x x x x x a b a b a b x x x x x x x x x x x x A B C A B C A B A B A x B C x D A B C a b c a b x x x x x x x A B A

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

,,!!!?,?,!,,,,,,,,,,!,,, : 1 ,,,,!, :, :,?,,,, 2 ( 1 ) 7 0 ( 11 ) ( 12 ) ( 13 ) ( 14 ) ( 15 ) ( 17 ) ( 18 ) ( 19 ) ( 21 ) ( 22 ) ( 23 ) ( 25 ) ( 26 ) ( 27 ) ( 29 ) ( 30 ) ( 31 ) ( 32 ) ( 33 ) ( 34 ) (

More information

<4D F736F F F696E74202D BDE1B9B9BBAFB3CCD0F2C9E8BCC D20D1ADBBB7>

<4D F736F F F696E74202D BDE1B9B9BBAFB3CCD0F2C9E8BCC D20D1ADBBB7> 能源与动力工程学院 结构化编程 结构化程序设计 循环 循环结构 确定性循环 非确定性循环 I=1 sum=sum+i I = I +1 陈 斌 I>100 Yes No 目录 求和 :1+2+3++100 第四节循环的应用 PROGRAM GAUSS INTEGER I, SUM 计数器 SUM = 0 DO I = 1, 100, 1 SUM = SUM + I print*, I, SUM DO

More information

考试大2011年高考试题答案

考试大2011年高考试题答案 持 续 更 新 中... 一 单 项 选 择 题 ( 本 类 题 共 30 小 题, 每 小 题 1 分, 共 30 分 每 小 题 备 选 答 案 中, 只 有 一 个 符 合 题 意 的 正 确 答 案 多 选 错 选 不 选 均 不 得 分 ) 1. 甲 乙 签 订 的 买 卖 合 同 中 订 有 有 效 的 仲 裁 条 款, 后 因 合 同 履 行 发 生 的 纠 纷, 乙 未 声 明 有

More information

? Ⅰ Ⅱ Ⅲ Ⅳ !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1 1 1 3 5 5 8 9 9 11 13 14 16 17 17 19 21 23 25 26 26 29 31 32 32 33 34 35 37 38 1 1. 2. 3. 1. 2. 3. 4. 5. 1 2 3 1. A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D. 23. 5 N 1 1 2 3 1. A. B. C. D.

More information

九十六學年度第一學期第三次定期考國文科試題

九十六學年度第一學期第三次定期考國文科試題 凡 答 案 卡 上 因 個 人 基 本 資 料 畫 記 錯 誤 或 不 完 全, 造 成 讀 卡 過 程 無 法 判 定 身 分 者, 本 科 此 次 定 期 考 分 數 扣 3 分 一 單 選 題 ( 每 題 2 分 )36% 1.( 甲 ) 乃 覺 三 十 里 :ㄐㄩㄝˊ( 乙 ) 經 宿 方 至 :ㄙㄨˋ( 丙 ) 乾 癟 :ㄅㄧㄢˇ( 丁 ) 垂 髫 : ㄊㄧㄠˊ( 戊 ) 一 綹 短 髮

More information

2 2 12 12 4 81 = 108 3 2 108 = 72 3 4 72 = 96 3 2 96 = 64 3 12 t = 2 1 2 11 12 12 12 2 l 2 l 2 l 2 12 ò ED = CB DA BA DE

More information

Microsoft Word - 《C语言开发入门》课程教学大纲-2.doc

Microsoft Word - 《C语言开发入门》课程教学大纲-2.doc C 语言开发入门 课程教学大纲 ( 课程英文名称 ) 课程编号 :201409210011 学分 :5 学分学时 :60 学时 ( 其中 : 讲课学时 :37 学时上机学时 :23 学时 ) 先修课程 : 计算机导论后续课程 :C++ 程序设计适用专业 : 信息及其计算机相关专业开课部门 : 计算机系 一 课程的性质与目标 C 语言开发入门 是计算机各专业必修的基础课程, 是数据结构 C++ Java

More information

! "#$! " # $%%&#! ()*+, - %& - %.,/ - /!! ! " ! #0 $ % &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % -

! #$!  # $%%&#! ()*+, - %& - %.,/ - /!! !  ! #0 $ % &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % - ! ! "#$! " # $%%&#! ()*+, - %& - %.,/ - /!!0 0 0 0! "0 0 0 0! #0 $ - - - % - - - &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % - %.63! %%%!!! 7889!:::0 7;90 ;?!!! % % -.3.3

More information

Ⅰ Ⅱ1 2 Ⅲ Ⅳ

Ⅰ Ⅱ1 2 Ⅲ Ⅳ Ⅰ Ⅱ1 2 Ⅲ Ⅳ ! " # $

More information

zt

zt 1 X X ( 1 ) ( ) ( 5 ) (10) (11) (12) (1) (14) X (17) (20) (21) (26) (29) (2) (5) (8) (40) (42) (44) (48) 2 X (50) (54) (55) () (57) () (59) () (60) (62) X (65) (67) (69) (70) (7) (76) () (79) () (80) (81)

More information

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处 北 京 市 会 计 从 业 资 格 无 纸 化 考 试 财 经 法 规 与 会 计 职 业 道 德 上 机 考 试 题 库 ( 五 ) 考 试 时 间 :60 分 钟 一 单 项 选 择 题 ( 本 题 共 20 分, 每 小 题 1 分 每 小 题 只 有 一 个 正 确 答 案, 多 选 错 选 漏 选, 不 得 分 ) 1. 纳 税 人 生 产 规 模 较 小 产 品 零 星 税 源 分 散

More information

download.kaoyan.com_2006ÄêÌì½ò¹¤Òµ´óѧ¸ß¼¶ÓïÑÔ³ÌÐòÉè¼Æ£¨409£©¿¼ÑÐÊÔÌâ

download.kaoyan.com_2006ÄêÌì½ò¹¤Òµ´óѧ¸ß¼¶ÓïÑÔ³ÌÐòÉè¼Æ£¨409£©¿¼ÑÐÊÔÌâ 考生注意 : 本试卷共七大题, 满分 150 分 考试时间为 3 小时 ; 所有答案均写在答题纸上 ( 注明题号 ), 在此答题一律无效无效 一 选择题 ( 本题共 20 小题, 每小题 2 分, 满分 40 分 ) 1 char ch 1 2 A 0

More information

Ps22Pdf

Ps22Pdf A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D B C D F G I J A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D

More information

台北市立成功高中九十學年度第一學期高三國文科期末考試題

台北市立成功高中九十學年度第一學期高三國文科期末考試題 台 北 市 立 成 功 高 級 中 學 一 0 二 學 年 度 第 一 學 期 範 圍 1. 課 本 : 第 五 冊 L13 典 論 論 文 第 六 冊 L1 諫 逐 客 書 L4 庖 丁 解 牛 2. 課 外 讀 本 : 與 吳 質 書 高 三 國 文 科 期 末 考 試 題 電 腦 卡 上 請 將 班 級 座 號 姓 名 劃 記 清 楚, 錯 誤 者 一 律 扣 十 分 一 單 一 選 擇 題

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn 1500 CIP 1500 /. 2006. 8 ISBN 7 5625 2128X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D920. 5 44 CIP 2006 087648 1500 388 430074 027 87482760 027 87481537 E-mail cbb@cug. edu. cn 2006 8 1 2006 8 1 850 1 168 1 /32 8. 625 220 26. 00 1.

More information

2013Ä긣½¨Ê¦·¶´óѧ839ͨѶÓëÐÅϢϵͳרҵ×ۺϿ¼ÊÔ´ó¸Ù

2013Ä긣½¨Ê¦·¶´óѧ839ͨѶÓëÐÅϢϵͳרҵ×ۺϿ¼ÊÔ´ó¸Ù 福建师范大学硕士研究生入学考试 通讯与信息系统专业综合通讯与信息系统专业综合 考试大纲 一考查目标通信与信息系统专业综合考试涵盖信号与系统和数字电路两门学科基础课程 要求考生系统掌握上述学科的基本理论 基本知识和基本方法, 能够运用所学的基本理论 基本知识和基本方法分析和解决有关理论问题和实际问题 二 考试形式和试卷结构 1. 试卷满分及考试时间本试卷满分为 150 分, 考试时间为 180 分钟

More information

Microsoft Word - 10201生物02.doc

Microsoft Word - 10201生物02.doc 五 福 一 自 p1 高 雄 市 立 五 福 國 中 97 學 年 度 第 2 學 期 第 1 次 段 考 一 年 級 自 然 與 生 活 科 技 學 習 領 域 試 題 卷 一 選 擇 題 : 每 題 2 分,35 題 共 70 分 ㄧ 年 班 號 姓 名 : ( )1. 下 列 關 於 有 性 生 殖 的 特 色, 哪 一 項 敘 述 是 正 確 的? (A) 高 等 植 物 利 用 營 養 器

More information

试卷

试卷 ( 试 题 中 凡 主 观 题 答 案 意 思 对 即 可, 若 与 答 案 不 同 而 言 之 成 理, 亦 可 酌 情 给 分 ) 一 ~ 二 (45 分 ) 1.B( 原 文 并 未 说 网 络 社 会 生 态 系 统 的 核 心 与 现 实 社 会 生 态 系 统 的 核 心 不 同 ) 2.D( 服 务 网 络 收 集 到 的 数 据 要 和 关 系 网 络 的 数 据 整 合 在 一 起,

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在 國 二 國 文 範 圍 :B3: 第 二 課 美 猴 王 一 國 字 及 注 音 1. 拱 ㄈㄨˊ 無 違 : 2. 拍 手 稱 ㄧㄤˊ : 3. 詼 ㄒㄧㄝˊ 風 趣 : 4. ㄔㄢˊ 鬥 : 5. 搔 癢 : 6. ㄓㄤ 頭 鼠 目 : 7. 玩 ㄕㄨㄚˇ : 8. 石 竅 : 9. 採 花 ㄇㄧˋ 果 : 10. 長 途 ㄅㄚˊ 涉 : 11. 喜 不 自 勝 : 12. 進 ㄓㄨˋ 水 簾

More information

《米开朗琪罗传》

《米开朗琪罗传》 ! " # ! """"""""""""""""""" """"""""""""""""" """""""""""""""" $% """"""""""""" &# """"""""""""""" %# """"""""""""""" # """""""""""""""!$% """""""""""""""!&!! # $$$$$$$$$$$$$$$$$$ $$$$$$$$$!"#!%& (! "

More information

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 第 4 章数字集成电路 4. 逻辑代数运算规则 4.2 逻辑函数的表示与化简 4.3 集成门电路 4.4 组合逻辑电路 4.5 集成触发器 4.6 时序逻辑电路 4.7 存储器 *4.8 可编程逻辑器件 (PLD) *4.9 应用举例 概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 概述 集成电路是 6 年代初期发展起来的一种新型半导体器件

More information

爱学习

爱学习 2013 中 建 教 育 二 级 建 造 师 建 设 工 程 施 工 管 理 点 题 班 习 题 ( 一 ) 一 单 项 选 择 题 ( 共 70 题, 每 题 1 分, 每 题 的 备 选 项 中, 只 有 1 个 最 符 合 题 意 ) 1 建 设 工 程 项 目 管 理 就 是 自 项 目 开 始 到 完 成, 通 过 ( ) 使 项 目 目 标 得 以 实 现 A 项 目 策 划 和 项 目

More information

中華民國青溪協會第四屆第三次理監事聯席會議資料

中華民國青溪協會第四屆第三次理監事聯席會議資料 - 1 - 中 華 民 國 第 八 屆 第 四 次 理 監 事 聯 席 會 議 程 序 表 日 期 中 華 民 國 1 0 4 年 1 2 月 1 9 日 ( 星 期 六 ) 地 點 臺 南 南 紡 夢 時 代 雅 悅 會 館 五 樓 ( 臺 南 東 區 中 華 東 路 一 段 366 號 ) 項 次 程 序 起 訖 時 間 使 用 時 間 主 持 人 或 報 告 人 報 到 16:30~17:00

More information

njj00118zw.PDF

njj00118zw.PDF 13 5 5 3 1 2 1 2 3 3 [ ] 1 1 2 3 2 1 2 3 4 5 6 7 3 ( ) ( ) ( ) (1) ()() () () (2) ( () () ) ()() () (() () () (3) ) () ( () ) ()() () ( ( ) ( ) ( ) (3) 1 2 3 4 5 [ ] 1 A B C D 2 A B C D 3 A B C D 4 A

More information

Digital System Design I

Digital System Design I 逻辑化简 刘鹏 浙江大学信息与电子工程系 Mar. 12, 2015 1 复习 逻辑公式和表达 公式法 本节内容 公式法化简 卡诺图化简 2 2014 ZDMC 与 -AND 条件同时具备, 结果发生 Y= A AND B = A&B = A B = AB 真值表 /truth table 图形符号 复习 A B Y 国标 0 0 0 0 1 0 1 0 0 1 1 1 国际 3 或 -OR 条件之一具备,

More information

!"#$%"#$!& () #*("+$,# -+(&. )!""! # # # # # # # # !!!"#$%#$&!"#$% #" %#&# %# (%!) (&#"*%!!!!!!!!!!!!!!!!!!!!!!! " "# (&$")(!*+,*)-%$ ".%).(%/!!!!!!!!!!!!!!!!!!!!!!!!!!!! $ (&$")(!*+ &$*$(&$(!*+,*)-%$

More information

!"#$%"#$!& () #*("+$,# -+(&. )!""! # # # # # # # # !!!"#$%#$&!"#$% #" %#&# %# (%!) (&#"*%!!!!!!!!!!!!!!!!!!!!!!! " "# (&$")(!*+,*)-%$ ".%).(%/!!!!!!!!!!!!!!!!!!!!!!!!!!!! $ (&$")(!*+ &$*$(&$(!*+,*)-%$

More information

bingdian001.com

bingdian001.com 2015 ( ) 1 A. B. C. D. B A ; C ; D 2 A. B. C. D. B C ; D 3 2014 2 5 7 1 100 1.4 2014 12 31 9 1 2015 2 20 8 ;3 20 11.6 1 2015 A.260 B.468 C.268 D.466.6 B = 8+(11.6-9)*100+ (9-7)*100=468 4. A. B. C. D. C

More information

2010年江西公务员考试行测真题

2010年江西公务员考试行测真题 2010 年 江 西 省 公 务 员 录 用 考 试 行 政 职 业 能 力 测 验 真 题 说 明 这 项 测 验 共 有 五 个 部 分,135 道 题, 总 时 限 120 分 钟 各 部 分 不 分 别 计 时, 但 都 给 出 了 参 考 时 限, 供 以 参 考 以 分 配 时 间 请 在 机 读 答 题 卡 上 严 格 按 照 要 求 填 写 好 自 己 的 姓 名 报 考 部 门,

More information

!"#$!"%&!"$!""( )( )( #( "#*!&#) %&*!(+,- %.!/( )( #( ,-2 89 /

!#$!%&!$!( )( )( #( #*!&#) %&*!(+,- %.!/( )( #( ,-2 89 / "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

2007年普通高等学校招生全国统一考试

2007年普通高等学校招生全国统一考试 高 考 语 文 陕 西 卷 试 题 以 及 答 案 解 析 本 试 卷 分 第 Ⅰ 卷 ( 选 择 题 ) 和 第 Ⅱ 卷 1 至 4 页, 第 Ⅱ 卷 5 至 8 页 考 试 结 束 后, 将 本 试 卷 和 答 题 卡 一 并 交 回 第 Ⅰ 卷 注 意 事 项 : 1. 答 题 前, 考 生 在 答 题 卡 上 务 必 用 直 径 0.5 毫 米 黑 色 墨 水 签 字 笔 将 自 己 的 姓

More information

Microsoft Word - ZLI14A0-105

Microsoft Word - ZLI14A0-105 105 年 指 考 趨 勢 預 測 歷 史 考 歷 科 史 科 文 / 朱 詩 堯 老 文 師 / 朱 詩 堯 老 師 1 前 言 大 考 中 心 根 據 101 課 綱, 將 指 考 歷 史 科 測 驗 分 為 四 項 可 相 互 依 存 的 指 標 : 基 礎 知 識 文 本 閱 讀 歷 史 解 釋 資 料 證 據, 每 項 指 標 又 將 記 憶 閱 讀 分 析 推 證 等 能 力 納 入 一

More information

Ps22Pdf

Ps22Pdf 0146) : 2 /. :, 2004. 7 ISBN 7-80153 - 957-5.... G726. 9 CIP ( 2004) 069174 : 2 : : : : : : 2 : 100733 : 010-65369524 65369530 : : : 880mm 1230mm 1 /32 : 2800 : 122 : 5000 : 2006 8 1 2 : ISBN 7-80153 -

More information

a( a 0) a a( a 0) a = a ( a) = a a( a 0 ) a = a( a ) 0 a = a 4 f x 1 = x a ai a R sinx + a b ab sin x sinx = sinx sin x = 4 y = sinx + sinx - ysinx 4 = 0 sinx sinx x - 3 3= x x- 3 - x- 3 = 0

More information

钢铁金相图谱

钢铁金相图谱 !""# $ ! "# "# "# $! $% & &" () (( (( (* *) *) *" *& *% % % %( #) # #!))!)&!)&!)*!!!!!!$! )!"!!"!!"&!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !"#!"$!%&!$!$!$(!)"!)#!)*!(!(!(%!(#!((!(*!*&!*!*%!*%!*#!*$!*)

More information

0.,,,,,, ;, ( ) ⅠM ⅠM ⅠM M0 M M ( ) BM M M Ⅱ M8 M9 M ( 7 ) ( ) M M Ⅲ M M M8 Ⅴ M0 M0 ( ) 8 ( ) ( ) ( ) , 979 ;,,98,89-7;,,997,-9;, ,,99,-0

0.,,,,,, ;, ( ) ⅠM ⅠM ⅠM M0 M M ( ) BM M M Ⅱ M8 M9 M ( 7 ) ( ) M M Ⅲ M M M8 Ⅴ M0 M0 ( ) 8 ( ) ( ) ( ) , 979 ;,,98,89-7;,,997,-9;, ,,99,-0 0 ( 0) JOURNALOFLITERATURE,HISTORY ANDPHILOSOPHY No.,0(SerialNo.0), ;,,,,,, ( ) ; ; ;,, ;,,, ;,,,,,,,, ;,,, ;,,,,,, ( 00);, ( 00), 98,,98 -,,000,8-7, 988, 988 0.,,,,,, ;, ( ) ⅠM ⅠM ⅠM M0 M M ( ) BM M M

More information

# " $ % $ # ( $ $ %% * $ %+ $, -., / ", 0, %, %%%%, " % 2 %% #. $ 3 *3 %45 6" %% 9: :" : "

#  $ % $ # ( $ $ %% * $ %+ $, -., / , 0, %, %%%%,  % 2 %% #. $ 3 *3 %45 6 %% 9: : : #$$% #$$% #$$ #$$% #$$% #$$ #$$ # $ " #($ # $ #$ #($ *$ #$ $+ %$ #* # *, #+ *, -#+ -, #%+, " " #$$% + #+ -+ #$$( +." HI # 6 J : HI - 6 J #. KL38 ( /0. KL # 6 38 # ( : 4 G7 < $ 7 6 : 6 58 758 % 7 6 < =

More information

untitled

untitled 2016 148 1 8 7 08:00 16:00 http://zj.sceea.cn www.sceea.cn APP 1 2 2 6 6 2016 2016 8 6 3 2016 2016 2016 0366 1 03 1 0391 2 54 ( ) 2 1256 7 02 1 03 1 07 2 18 2 21 1 1314 1 36 1 14000 / 20 1316 7 00 1 09

More information

20151107083515_題目卷

20151107083515_題目卷 國 中 歷 史 B3:L6 明 代 與 盛 清 的 發 展 練 習 卷 一 單 一 選 擇 題 1. ( ) 明 清 兩 代 的 統 治 措 施 有 何 相 似 之 處? (A) 均 薙 髮 留 辮 (B) 均 種 族 歧 視 (C) 均 興 文 字 獄 (D) 均 設 特 務 機 關 2. ( ) 小 明 參 觀 北 京 的 長 陵, 領 隊 先 生 介 紹 此 乃 明 代 因 發 動 宗 室 之

More information

153

153 C. 僅 限 行 前 報 名 參 加 請 向 該 活 動 之 或 聯 繫 103301 7/5~6 百 岳 5 座 7/4 晚 上 8 點 AD 行 前 會 議 黃 慶 元 合 歡 群 峰 是 中 橫 公 路 旁 郊 山 化 專 車 新 埔 捷 運 站 4300/4500 6/26 晚 8 點 0919-541045 的 高 山, 包 括 合 歡 主 山 東 峰 2 號 出 口 限 22 名 免 公

More information

5. 10(1) 10(2) A-1 17(2) 7. A-2 18A B

5. 10(1) 10(2) A-1 17(2) 7. A-2 18A B 立法會 CB(2)520/05-06(01) 號文件 2005 ( )( ) 20051031 2005 10 31 2005 ( )( ) ( ) 10(2) 2. 10(2) 10(2) 3 1969 ) 1985 4. 1953 1969 1969 5. 10(1) 10(2) 6. 1953 A-1 17(2) 7. A-2 18A B 2005 11-2 - A-1 1953 17(2)

More information

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总 目 录 数 学 高 分 的 展 望... 1 第 一 篇 大 纲 解 析 篇... 1 一 管 理 类 联 考 分 析... 1 二 最 新 大 纲 解 析... 1 三 考 前 复 习 资 料 及 方 法... 第 二 篇 总 结 篇... 4 1 应 用 题 考 点 总 结 与 技 巧 归 纳... 4 代 数 模 块 题 型 归 纳 及 考 点 总 结... 9 3 数 列 模 块 题 型 归

More information

( )1

(   )1 ( )1. 如 圖 為 某 生 物 細 胞 行 減 數 分 裂 過 程 之 一, 正 常 情 況 下, 分 裂 完 成 後 子 細 胞 染 色 體 為 下 列 何 者? ( )2. 在 細 胞 的 分 裂 過 程 中,50 個 精 母 細 胞 與 50 個 卵 母 細 胞, 經 減 數 分 裂 後, 分 別 產 生 M 個 成 熟 的 精 配 子 細 胞 和 N 個 成 熟 的 卵 配 子 細 胞

More information

Ⅰ Ⅱ Ⅲ Ⅳ

Ⅰ Ⅱ Ⅲ Ⅳ Ⅰ Ⅱ Ⅲ Ⅳ 2 2 3 4 5 6 7 8 2 3 4 1 1 5 6 7 8 10 1 2 1 2 11 12 1 1 13 14 1 1 15 16 1 1 1 17 2 3 18 4 19 20 21 1 1 22 1 1 23 1 1 24 25 1 2 3 1 2 3 26 1 2 1 2 27 1 1 29 30 31 ~ 32 1 1 ~ ~ ~ ~ ~ ~ 33 ~

More information

Ps22Pdf

Ps22Pdf ( 0178) ( CIP). 1 /. :, 2004. 7 ISBN 7-80153 - 956-7.... G726. 9 CIP ( 2004) 069175 : 1 : : : : : : 2 : 100733 : 010-65369524 65369530 : : : 880mm 1230mm 1 /32 : 2400 : 150 : 5000 : 2006 8 1 2 : ISBN 7-80153

More information