<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63>

Size: px
Start display at page:

Download "<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63>"

Transcription

1 福建师范大学协和学院 实验报告 课程名称 : 数字电子技术 系 别 : 信息技术系 专业 : 班级 : 学号 : 学生姓名 : 2014 年 9 月 1 日

2 实验项目列表 序号实验项目名称学时成绩指导教师 1 TTL 集成逻辑门的逻辑功能与参数 2 测试 2 组合逻辑电路的设计与测试 2 3 译码器和数据选择器 2 4 RS D JK 触发器 2 5 时序逻辑电路的测试及研究 2 6 计数器 MSI 芯片应用 ( 设计性实验 ) 集成芯片的应用 2 8 简易数字秒表设计 2 总成绩 : 2

3 数字电子技术实验 报告填写要求一 每一个实验项目均须提交一份报告, 此报告包含实验预习 实验过程和结果分析等, 批改后下发的实验报告请保存起来, 期末上交 二 实验报告内容 : 1. 实验目的 : 明确实验的内容和具体任务, 并明确为什么要做这个实验, 可以验证哪些理论 ; 2. 实验仪器 : 记录主要仪器的名称 型号 ; 3. 实验原理 : 实验内容的简要原理 图表 公式 计算 ( 详细计算过程和结果 ) 等, 用数电的基础知识分析实验可能出现的结果 ; 4. 实验内容和结果记录 : 实验参数 操作步骤 操作规范和操作注意事项等 ; 5. 实验结果记录 : 准确无误地记录原始数据, 科学 合理地设计原始数据和实验条件的记录表格 ; 6. 实验分析和小结 : 对自己得出的结果进行具体结果分析, 并针对实验内容 教学方法 考核方法等提出需要解决的问题, 提出改进办法与建议 避免抽象地罗列 笼统地讨论 ; 讨论 建议 质疑 : 7. 全部文字叙述内容要求简明扼要, 思路清楚 ; 8. 用直尺绘制芯片图, 做到整洁美观 ; 并填写清楚实验日期 实验台 同组员姓名 三 要求实验报告字迹工整 文字简练 数据齐全 图表规范, 分析充分 具体 对于抄袭实验报告和编篡原始数据的行为, 一经发现, 以零分处理, 并根据相关条例给予处分 3

4 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验一 TTL 集成逻辑门的逻辑功能与参数测试 一 实验目的 1. 熟悉门电路逻辑功能 2. 熟悉数字电路学习机使用方法 3. 熟悉门电路逻辑功能之间的相互转换 4. 熟悉所用集成电路的引线位置及各引线用途 二 实验仪器 1. 数电实验箱一台, 万用表 ( 学生自带 ) 2. 器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 l 片 74LS04 六反相器 l 片 三 实验原理 写出本实验所用到的门电路的逻辑表达式及其相应逻辑功能 (1) 二输入端与非门 (2) 四输入端与非门 4

5 (3) 二输入端异或门 (4) 反相器 四 实验内容和结果记录 实验前按学习机使用说明先检查学习机电源是否正常, 然后选择实验用的集成电路. 按自己设计的实验接线图接好连线, 特别注意 Vcc 及地线不能接错, 线接好后经实验指导教师检查无误方可通电实验 实验中改动接线须先断开电源, 接好线后再通电实验 1. 测试门电路逻辑功能 (1). 选用双四输入与非门 74LS20 一只, 插入面包板. 按图 1.1 接线, 输入端接 K1-K4( 电平开关输出插口 ), 输出端接电平显示发光二极管中的任意一个 (2). 将电平开关按如下表 1.1 置位, 分别测输出电压及逻辑状态, 记录于表 1.1 5

6 2 异或门逻辑功能测试 (1). 选二输入四异或门电路 74LS86. 按图 1.2 接线, 输入端 , 接电平开关, 输出端 A B Y 接电平显示发光二极管 (2). 将电平开关按表 1.2 置位, 将结果填入表 1.2 中 3. 逻辑电路的逻辑关系 (1). 用 74LS00 按图 1.3 接线, 将输入输出逻辑关系填入表 1.3 中 ; (2). 写出图 1.3 所示 Y 的表达式 Y= ( 化简后的结果 ) 6

7 五 思考和小结 (1) 怎样判断门电路逻辑功能是否正常? (2) 与非门一个输入接连续脉冲 其余端什么状态时允许脉冲通过? 什么状态时禁止脉冲通过? 为什么? (3) 或非门和与非门的多余管脚分别要如何处理呢, 为什么? 7

8 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验二组合逻辑电路的设计与测试 一 实验目的 1. 熟悉组合逻辑电路设计流程 2. 熟悉四人表决器的逻辑功能 二 实验仪器 1. 数电实验箱一台 2. 器件 74LS10 三输入端三与非门 2 片 74LS20 四输入端双与非门 1 片 三 实验原理 1 设计任务 : 用与非门设计一个四个人表决电路 当四个输入端中有三个或四个为 1 时, 输出才为 四 实验内容和结果记录 1. 逻辑问题抽象, 设计变量并赋值 ;

9 2. 写出对应的真值表 ; 3. 卡诺图化简对应表达式 ; 4. 画出用与非门搭建的逻辑电路图 ; 9

10 5. 根据逻辑电路图画出电路的管脚连接图 ; 6. 请根据实验过程设计出实验状态表并记录 五 思考和小结 1. 请简要叙述设计的流程或步骤, 并谈谈设计过程中的难点 10

11 2. 如果采用或门和与门电路来搭建要如何搭建, 请画出逻辑电路图 11

12 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验三译码器和数据选择器 一 实验目的 1. 熟悉集成译码器 2. 了解集成译码器应用 二 实验仪器 1. 数电实验箱一台 2. 器件 74LS 线译码器 1 片 74LS153 双 4 选 1 数据选择嚣 1 片 74LS00 二输入端四与非门 1 片 三 实验原理 1. 译码器译码器的逻辑功能是将每个输入的二进制代码译成对应的输出高 低电平信号 常见的译码器有二进制译码器 十进制译码器和显示译码器等 本实验主要验证二进制译码器的逻辑功能 二进制译码器的输入是一组二进制代码, 输出是一组与代码一一对应的高 低电平信号 2. 数据选择器数据选择器又称多路选择器 多路开关 它是一个多输入 单输出电路 数据选择器在地址码 ( 或叫选择控制 ) 电平的控制下, 从几个数据输入中选择一个, 并将其送到输出端 常见的数据选择器有 2 选 1 4 选 1 8 选 1 和 16 选 1 等数据选择器 四 实验内容和结果记录 1. 译码器功能测试

13 将 74LS139 译码器按图 3.1 接线, 按表 3.1 输入电平分别置位. 填输出状态表 3.1 图 3.1 表 译码器转换将双 2-4 线译码器转换为 3-8 线译码器 (1) 请画出转换电路各管脚连接图 (2) 在实验箱上连接并验证设计是否正确 13

14 (3) 设计并填写该 3-8 线译码器功能表 3. 数据选择器的测试及应用 (1) 为验证 4 选 1 的逻辑功能, 请参考 74LS153 管脚图, 测试其功能并填写功能表 3.2; 表

15 (2) 画出双 4 选 1 数据选择器 74LS153 连接为 8 选 1 数据选择器的连线图 ; 五 思考和小结 1. 如果用译码器 74LS139 来搭建三人表决器, 要如何搭建呢, 请画出简化电路图 2. 如果用双 4 选 1 数据选择器 74LS153 来搭建三人表决器, 要如何搭建呢, 请画出简化电路图 15

16 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验四 RS D JK 触发器 一 实验目的 1. 熟悉并掌握 R-S D J-K 触发器的构成, 工作原理和功能测试方法 2. 学会正确使用触发器集成芯片 3. 了解不同逻辑功能触发器相互转换的方法 二 实验仪器 1. 数电实验箱一台 2. 器件 74LS00 二输入端四与非门 l 片 74LS74 双 D 触发器 l 片 74LSl12 双 J K 触发器 l 片 三 实验原理 触发器是具有记忆作用的基本单元, 在时序电路中是必不可少的 触发器具有两个基本性质 :(1) 在 一定条件下, 触发器可以维持在两种稳定状态 (0 或 1 状态之一保持不变 );(2) 在一定的外加信号作用下, 触发器可以从一种状态转变成另一种稳定状态 (0-1 或 1-0), 因此, 触发器可记忆二进制的 0 或 1, 被用 作二进制的存储单元 触发器可以根据有无时钟脉冲分为两大类 : 基本触发器和钟控触发器 钟控触发器按功能分为 RS DJ K T T 等五种 ; 钟控触发器若按触发器方式分又可分为电平触发器 ( 高电平触发器 低电平触发器 ) 边 缘触发器 ( 上升沿触发 下降沿触发 ) 和主从触发三种 RS 触发器的特征方程是 : Q n+1 S+RQ n ( 约束条件 :SR=0) DJ 触发器的特征方程是 : Q n+1 D

17 K 触发器的特征方程是 : Q n+1 J Q n KQ n T 触发器的特征方程是 : Q n+1 TQ n TQ n T 触发器的特征方程是 : Q n+1 Q n 电平触发 : 在时钟脉冲 CP 高 ( 低 ) 电平期间, 触发器接受控制输入信号, 改变其状态 电平触发方式的根本缺陷是空翻问题 边缘触发 : 仅在时钟脉冲 CP 的下降沿或上升沿触发器才能接受控制输入信号, 改变其状态 主从触发 : 在时钟脉冲高电平期间, 主触发器接受控制输入信号, 时钟脉冲 CP 下降沿时刻从触发器可以改变状态 变为主触发器的状态 四 实验内容和结果记录 1. 基本 R-S 触发器功能测试 : 两个 TTL 与非门首尾相接构成的基本 R S 触发器的电路如图 4.1 所示 (1) 试按下面表 4.1 的顺序在 R, S 端加信号 : 观察并记录触发器的 Q 和 Q 的状态, 将结果填入下表 4.1 中, 并说明在上述各种输入状态下, 触发器执行的是什么功能? 图 4.1 表 维持一阻塞型 D 触发器功能测试 双 D 型正边沿维持一阻塞型触发器 74LS74 的逻辑符号如图 4.2 所示 ; 图中 S R 端为异步置 1 端 置 0 端 ( 或称异步置位, 复位端 ).CP 为时钟脉冲端 ; 按照表 4.2 所示, 进行结果测试, 并将结果填入下表 4.2 中 ; 17

18 图 4.2 表 负边沿 J-K 触发器功能测试 双 J K 负边沿触发器 74LSl12 芯片的逻辑符号如图 4.3 所示, 自拟实验步骤, 测试其功能, 并将结果 填入表 4.3 中 4. 触发器功能转换 图 4.3 表 4.3 (1). 将 D 触发器转换成 T 触发器, 列出表达式, 画出转换电路图 18

19 (2). 将 J K 触发器转换成 T 触发器, 列出表达式, 画出转换电路图 五 思考和小结 1. 总结这几个触发器的 S R 端有什么功能呢? 19

20 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验五时序逻辑电路的测试及研究 一 实验目的 1. 掌握常用时序电路分析方法 2. 掌握常用时序电路设计及测试方法 3. 训练独立进行实验的技能 二 实验仪器 1. 数电实验箱一台 2. 器件 74LS73 双 J K 触发器 2 片 74LS175 四 D 触发器 1 片 74LS10 三输入端三与非门 1 片 74LS00 二输入端四与非门 1 片 三 实验原理 请简述时序逻辑电路分析步骤

21 四 实验内容和结果记录 1. 异步二进制计数器 (1) 请判断这是几进制的计数器? (2) 按图 5-1 接线 图 5-1 (3) 由 CP 端输入单脉冲, 测试并记录 Q1~Q4 端状态, 列出状态转换表, 并判断这是几进制的计数器 2. 自循环移位寄存器 环形计数器 (1). 按图 5-2 接线, 将 A B C D 置为 1000, 用单脉冲计数, 请观察各触发器状态, 列出状态转换表 图

22 改为连续脉冲计数, 并将其中一个状态为 0" 的触发器置为 1", 将 A B C D 置为 1010 ( 模拟干 扰信号作用的结果 ). 观察计数器能否正常工作, 列出状态转换表, 并分析原因 (2) 按图 5-3 接线, 与非门用 74LS10 三输入端三与非门重复上述实验, 对比实验结果, 列出状态转换表, 总结关于自启动的体会 22

23

24 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验六计数器 MSI 芯片应用 ( 设计性实验 ) 一 实验目的 1. 学会正确使用计数器芯片 2. 熟悉和了解其应用电路 3. 熟悉计数器芯片的搭建 二 实验仪器 1. 数电实验箱一台 2. 器件 74LS160 2 片 74LS20 四输入端二与非门 1 片 三 实验原理 计数是一种最简单基本的运算, 计数器就是实现这种运算的逻辑电路 计数器在数字系统中主要是对脉冲的个数进行计数, 以实现测量 计数和控制的功能, 同时兼有分频功能 计数器是由基本的计数单元和一些控制门所组成, 计数单元则由一系列具有存储信息功能的各类触发器构成, 这些触发器有 RS 触发器, T 触发器,D 触发器及 JK 触发器等 计数器按计数进制不同, 可分为二进制计数器, 十进制计数器, 其他进制计数器和可变进制计数器 若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分, 则有异步计数器和同步计数器两大类, 以及加法计数器 减法计数器 加 / 减计数器等, 如按预置和清除方式来分, 则有并行预置 直接预置 异步清除和同步清除等差别 中规模集成计数器 74LS160/74LS161 是四位二进制可预置同步计数器, 由于它采用 4 个主从 JK 触发器作为记忆单元, 故又称为四位二进制同步计数器 该计数器由于内部采用了快速进位电路, 所以具有较高的计数速度, 各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的, 时钟脉冲每正跳变一次, 计数器内各触发器就同时翻转一次

25 由于 74LS160/74LS161 的计数容量为 10/16, 即计 10/16 个脉冲, 发生一次进位, 所以可以用它构成 10/16 进制以内的各进制计数器 实现的方法有两种 : 置零法 ( 复位法 ) 和置数法 ( 置位法 ) 置位法与置零法不同, 它是通过给计数器重复置入某个数值的的跳越 N-M 个状态, 从而获得 M 进制计数器的 若所要求的进制已超过 10/16,, 则可通过几个 74LS160/74LS161 进行级联来实现 四 实验内容和结果记录 1. 计数器芯片 74LS160/161 功能测试 74LS160 为同步十进制计数器,74LS161 为同步十六进制计数器 (1). 带直接清除端的同步可顶置数的计数器 74LS160/161 的逻辑符号及管脚功能如图 6.1 所示 参照图 6.2 完成芯片的接线, 测试 74LS160 或 74LS161 芯片的功能. 将结果填入下表 6.1 图 6.1 表 6.1 图

26 (2).74LS161 芯片按照图 6.2 所示电路, 接图接线,CP 用点动脉冲输入,QD QC QB QA 接发光 二极管显示, 画出状态特性表, 测出芯片的计数长度. 并画出其状态转换图 2. 计数器芯片 7 4 LS l 60/1 6 l 的应用 请用两种方法设计出同步 60 计数电路 方法一 :(1) 画出电路设计图, 并在图上标出管脚编号 (2) 按图接线, 用点动脉冲作为 CP 的输入. 两片 74LSl60 的输出端 QD QC QB QA 分别接实验箱 上七段 LE D 数码管的输入端, 观察在点动脉冲作用下, 七段 LE D 数码管显示的数字变化, 画出状态表并 记录实验结果 26

27 方法二 :(1) 画出电路设计图, 并在图上标出管脚编号 (2) 按图接线, 用点动脉冲作为 CP 的输入. 两片 74LSl60 的输出端 QD QC QB QA 分别接实验箱 上七段 LE D 数码管的输入端, 观察在点动脉冲作用下, 七段 LE D 数码管显示的数字变化, 画出状态表并 记录实验结果 27

28 五 思考和小结 1. 请简述上述设计 60 进制计数器两种方法的特点和区别 28

29 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验七 555 集成芯片的应用 一 实验目的 1. 熟悉 555 集成电路的基本结构, 工作方式及其应用 2. 掌握一定的设计和调试电路的能力 二 实验仪器 1. 数电实验箱一台 2. 器件 :NE555 集成芯片 1 片, 电阻 电容若干 三 实验内容和结果记录 定时器功能测试 图 7-1 为 555 定时器内部结构的简化原理图, 它包括两个电压比较器 C 1 C 2 和一个 RS 触发器, 一个 放电 BJT T, 缓冲器 G 及三只 5kΩ 电阻组成的分压器

30 V C C (8) R d 复位 (4) 5K 控制电压 V I C (5) 阈值输入 V I 1 (6) C1 R & 触发输入 V I 2 (2) 5K C2 S & Q G & 1 Vo (3) 5K 放电端 V T (7) T (1) 5K 图 定时器内部结构简化原理图 555 定时器的逻辑功能可理解为 : 由输入决定两个比较器的输出从而决定 RS 触发器的输出 (1) 当复位端 R D 为低电平时, 不管其它输入端的状态如何, 输出 V O 为低电平 因此在正常工作时, 应将其接高电平 (2) 当输入 V I 1 大于 2/3V CC 时,V I 2 大于 1/3V CC 时, 比较器 C 1 输出 R 为低电平, 比较器 C 2 输出 S 为高电平, 基本 RS 触发器被置 0, 放电三极管 T 导通, 输出端 V O 为低电平 ; (3) 当输入 V I1 小于 2/3V CC 时,V I2 小于 1/3V CC 时, 比较器 C 1 输出高电平,C 2 输出低电平,RS 触发器被置 1, 放电三极管 T 截止, 输出端 V O 为高电平 ; (4) 当输入 V I 1 小于 2/3V CC 时,V I 2 大于 1/3V CC 时, 基本 RS 触发器 R=1,S=1, 触发器状态不变, 电路亦保持原状态不变 按图 7-2 接线, 验证 555 集成电路功能 8 脚 V CC,4 脚复位端 R D 已接高电平 +5V;1 脚 GND,5 脚 V T 已按图接好 只须将数字实验箱中电位器 W 1 抽头接 P 2,W 2 接 P 6 ;P 7 接 R 1 ; 按表 8-1 改变电位器, 并记录下来 30

31 VCC 5.1K Vo W 1 1K W 2 22K 0.1u 图 7-2 表 7-1 输入 输出 V I 1 (6 脚 ) V I2 (2 脚 ) R D (4 脚 ) V O 放电端 (P 7 ) < 2/3V CC <1/3V CC 1 > 2/3V CC >1/3V CC 1 < 2/3V CC >1/3V CC 1 2. 用 555 构成施密特触发器 将 555 定时器的阈值输入端 (6 脚 ) 和触发输入 (2 脚 ) 连在一起, 便构成了施密特触发器, 按图 7-3 接好线, 测试电压传输特性曲线并记录下来 VCC W1 100K Vo 图 用 555 构成多谐振荡器 按图 7-4 接线, 可形成一多谐振荡器 31

32 VCC 10K V c 5.1K Vo 1u 0.01 图 7-4 将 555 定时器的阈值输入端 (6 脚 ) 和触发输入 (2 脚 ) 连在一起, 再与 RC 相连 ; 用示波器观察并记录 V C 及输出 V O 波形并记录下来 4. 用 555 构成单稳态触发器按图 7-6 接线, 可形成单稳态触发器 改变电位器 W 1 (100K) 的数值, 用示波器观察 V I 1 V C 和 V O 的波形并记录下来 VCC W1 100K VI R1 10K C1 Vc R 100K VI1 0.01u C 1u Vo 图

33 5. 用 555 集成电路构成救护车音响电路图 7-7 用两块 555 集成块构成音响电路 第一个 555 产生低频振荡, 其频率约为 1.5Hz, 第二个其频率约为 1.5KHz, 由于第一个 555 的输出端 3 接到第二个 555 控制端 5 脚上, 因此, 高频振荡器的振荡频率采到低频振荡器的调制, 第一个 555 输出为高电平时, 第二个 555 的频率就低 ; 而第一个 555 输出为低电平时, 第二个 555 的频率就高, 这样喇叭发出高低相间, 周而复始的救护车音响 按图 8-7 接线调试 +5V 10K 10K 100K K 100K u 10u 0.01u 0.01u 图 7-7 五 思考和小结 (1) 写出多谐振荡器输出信号频率的公式, 并计算上述救护车音响电路前后两级电路中的输出信号频率 33

34 福建师范大学协和学院 数字电子技术 实验报告 实验日期 : 年 月 日 学号 : 姓名 : 实验台 : 组员姓名 : 实验成绩 : 实验八简易数字秒表设计 一 实验目的 1. 熟悉计数器 (N 进制 ) 七段译码器及数码显示管的工作原理 2. 掌握一定的设计和调试电路的能力 3. 学习分析和排除故障 二 实验仪器 1. 计数器芯片 74HC160 2 片 2. 显示译码器芯片 74HC 片, 共阴数码管 2 片 2. NE555 集成芯片 1 片, 电阻 电容若干三 实验任务 设计并组装一简易的数字秒表 能显示 秒 (0~59 秒 ) 34

35

36 36

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 8 讲 ) 主讲 : 张国钢副教授西安交通大学电气工程学院 27 年春 4 锁存器和触发器 4. 基本概念 4.2 锁存器 4.3 触发器 27-3-2 4. 基本概念 Astable region 锁存器 (latch) 触发器 (Flip-Flop, 简称为 FF) 作用 : 都具有保存一位二值信息的功能 ; 特点 : 2 是时序逻辑电路的基本单元电路 有两种能自行保持的稳定状态,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft PowerPoint - Chap_4.ppt

Microsoft PowerPoint - Chap_4.ppt 组合逻辑电路 第四章组合逻辑电路 梁华国电子科学与技术系 http://dwxy.hfut.edu.cn/ 概述 组合逻辑电路分析 组合逻辑电路设计 考虑特殊问题的逻辑设计 若干常用的组合逻辑电路 组合逻辑电路中的竟争 - 冒险 概述 组合逻辑电路 组合逻辑电路的定义 : 是指电路在任何时刻产生的稳定输出信号, 仅取决于该时刻电路的输入信号 a a a n 组合逻辑电路 y y y f a a a

More information

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激 数字全加器操作说明书 本实验将分别采用 (1) 门电路 (2) 数据选择器 (3) 二进制译码器三种不同逻辑器件实现 1 位全加器的逻辑电路功能 具体的实验步骤及操作方法分述如下 1. 使用小规模集成电路 ( 门电路 ) 实现全加器 (1) 根据 1 位全加器的逻辑功能, 写出 1 位全加器的逻辑真值表, 如表 1 所示 输入 输出 表 1. 1 位全加器的逻辑真值表 A 0 0 0 0 1 1 1

More information

图 1-1 Multisin 2001 的界面组成 设计栏 设计栏部分是 Multisim 2001 的核心部分, 通过它可以直接访问到程序提供的各种复杂功能 Component( 元件 ) 按钮缺省时是被选中的 ( 呈显出按钮被按下去的状态 ), 用户界面 中显示出元件工具条 Component

图 1-1 Multisin 2001 的界面组成 设计栏 设计栏部分是 Multisim 2001 的核心部分, 通过它可以直接访问到程序提供的各种复杂功能 Component( 元件 ) 按钮缺省时是被选中的 ( 呈显出按钮被按下去的状态 ), 用户界面 中显示出元件工具条 Component Multisim 仿真系统使用学习 一 实验目的 初步掌握 Multisim 2001 仿真系统的组成及其使用方法 二 实验预习 Windows 操作系统的使用方法及其上应用程序的操作方法 三 实验器材 Multisim 2001 仿真软件 四 实验内容和步骤 1 介绍 本实验手册假定操作者已经熟悉并掌握了 Windows 的应用 ( 例如, 用鼠标选择项目 使能 / 禁止某个选项等等 ) 1.1

More information

实验一 TTL与非门逻辑功能及主要参数的测试

实验一  TTL与非门逻辑功能及主要参数的测试 黄河科技学院系列教材 ( 讲义 ) 数字电路基础实验指导 主编 : 董雪峰 编委 : 董雪峰蔡晓艳王丽霞 李小亮 黄河科技学院电子产品设计与制作实验实训中心 二〇〇七年十二月 前言 数字电路基础实验指导 自 2007 年出版以来, 在我校各类层次的专业中进行了使用, 得到了广大读者的关心和支持, 提出了许多宝贵的意见 随着电子技术的飞速发展和我校教改的进一步深入, 原教材中的部分内容有的显得陈旧,

More information

<4D F736F F D20CAFDD7D6B5E7C2B7CAB5D1E9BDB2D2E5>

<4D F736F F D20CAFDD7D6B5E7C2B7CAB5D1E9BDB2D2E5> 实验一 TTL 集成门的测试与使用 一 实验目的 (1) 掌握 TTL 与非门 集电极开路门和三态门逻辑功能的测试方法 (2) 熟悉 TTL 与非门 集电极开路门和三态门主要参数的测试方法二 实验原理 1.TTL 集成与非门 实验使用的 TTL 与非门 74LS020( 或 T4020 T063 等 ) 是双 4 输入端与非门, 即在一块集成块内含有两个 互相独立的与非门, 每个与非门有 4 个输入端

More information

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 第 4 章数字集成电路 4. 逻辑代数运算规则 4.2 逻辑函数的表示与化简 4.3 集成门电路 4.4 组合逻辑电路 4.5 集成触发器 4.6 时序逻辑电路 4.7 存储器 *4.8 可编程逻辑器件 (PLD) *4.9 应用举例 概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 概述 集成电路是 6 年代初期发展起来的一种新型半导体器件

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

住户表

住户表 表 号 : 人 社 统 [2008] 临 2 号 制 表 机 关 : 人 力 资 源 和 社 会 保 障 部 批 准 机 关 : 国 家 统 计 局 批 准 文 号 : 国 统 制 [2008]97 号 有 效 期 至 :2008 年 12 月 31 日 人 力 资 源 社 会 保 障 基 本 情 况 调 查 问 卷 ( 城 镇 居 民 ) (2008 年 社 区 直 报 调 查 ) 致 调 查 户

More information

数字电子电路分析与应用 () 当医护人员治疗完全部呼叫病患后, 系统将自动恢复到待机状态 二 任务学习目标 知识目标 () 掌握组合逻辑电路的特点 () 掌握组合电路的分析 () 掌握组合电路的设计方法 () 掌握译码器 编码器等常用集成电路的设计使用 技能目标 () 熟悉多种电路元件和集成组合逻辑

数字电子电路分析与应用 () 当医护人员治疗完全部呼叫病患后, 系统将自动恢复到待机状态 二 任务学习目标 知识目标 () 掌握组合逻辑电路的特点 () 掌握组合电路的分析 () 掌握组合电路的设计方法 () 掌握译码器 编码器等常用集成电路的设计使用 技能目标 () 熟悉多种电路元件和集成组合逻辑 组合电路的应用 项目导读 数字电路按照逻辑功能的不同特点, 一般可分为组合逻辑电路和时序逻辑电路 本项目通过实例学习组合逻辑电路的应用 设计 制作等 在日常生活中, 我们经常遇到将数字信号编码 译码 显示的问题, 例如医院用的呼叫系统等 所以病房呼叫系统是一种对二进制数编码 译码并推动数码显示的电路 在知识拓展栏目还将学习常用的加法器和数值比较器等组合逻辑电路 任务 病房呼叫系统的设计 制作与调试

More information

数字逻辑设计2013

数字逻辑设计2013 第三讲逻辑门电路 ogic Gte Circuit 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digitl/2spring 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2 如何做一个能计算的设备?

More information

上编 专业基础课

上编  专业基础课 中国人民公安大学硕士研究生招生考试 C 语言程序设计和数字电子技术 考试大纲 ( 本大纲适用于公安技术一级学科安全防范工程二级学科招生初试 ) 2016 年 5 月修订 1 目录 Ⅰ. 考查目标... 3 Ⅱ. 考试形式和试卷结构... 3 Ⅲ. 考查内容... 4 第一部分 C 语言程序设计... 4 第二部分数字电子技术... 5 Ⅳ. 参考试题... 7 Ⅴ. 参考答案... 12 Ⅵ. 参考书目...

More information

1

1 表 号 : 人 社 统 [2010] 临 2 号 制 表 机 关 : 人 力 资 源 和 社 会 保 障 部 批 准 机 关 : 国 家 统 计 局 批 准 文 号 : 国 统 制 [2010]77 号 有 效 期 至 :2012 年 12 月 31 日 人 力 资 源 社 会 保 障 基 本 情 况 调 查 问 卷 ( 农 民 工 ) 致 农 民 工 的 话 : 您 好! 本 次 调 查 是 人

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

第十五章 脉冲波形的产生和整形

第十五章  脉冲波形的产生和整形 第十五章脉冲波形的产生和整形 第十五章脉冲波形的产生和整形... 9 第一节概述... 9 5.. 脉冲电路的分析... 94 5.. 电路的应用... 95 第二节单稳态触发器... 98 5.. 用门电路组成的单稳态触发器... 98 5.. 集成单稳态触发器... 40 5.. 单稳态触发器的应用... 404 第三节多谐振荡器... 405 5.. 自激多谐振荡器... 405 5.. 环形振荡器...

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

住户表

住户表 表 号 : 人 社 统 [2010] 临 1 号 制 表 机 关 : 人 力 资 源 和 社 会 保 障 部 批 准 机 关 : 国 家 统 计 局 批 准 文 号 : 国 统 制 [2010]77 号 有 效 期 至 :2012 年 12 月 31 日 人 力 资 源 社 会 保 障 基 本 情 况 调 查 问 卷 ( 城 镇 居 民 ) 致 调 查 户 的 一 封 信 您 好! 本 次 调 查

More information

案例实训指导书之三

案例实训指导书之三 案例实训指导书之三 用标准集成电路组成 的数字钟 电子技术教研室编 00 年 0 月 目录 一 案例教学的目的 要求和教学方法.... 案例教学的目的.... 案例教学的要求.... 案例教学的教学方法... 二 数字钟的组成框图 电原理图.... 数字钟电路的组成框图.... 数字钟组成框图中各个组成部分的电原理图.... 数字钟的电原理图... 三 案例思考题... 0. 和案例直接相关的思考题...

More information

计算机组成原理

计算机组成原理 Computer Orgaizatio Priciples 计算机组成原理 主讲教师 : 孙鑫 (suxi@ouc.edu.c) ( 信息学院南楼,B3 室 ) http://cvpr.ouc.edu.c/people/com/ For Studets of Computer 25 计算机硬件系统组成 ( 章节分配 ) 总线和I/O 接口第二部分 控制器 运算器 (5,6 章 ) 第三部分( 4 7

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么?

本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么? 第 6 章 异步时序电路 Video Image Processing (VIP) Research Group @ Fudan http://soc.fudan.edu.cn/vip/ 范益波 03.9 本章内容 异步时序电路如何表达状态? 异步时序电路与同步时序电路的差别仅仅是 clock 不同么? 什么叫临界竞争 非临界竞争? 如何 约束 异步电路? 你愿意选用异步时序电路来做你的设计么? 本章要求

More information

2013Ä긣½¨Ê¦·¶´óѧ839ͨѶÓëÐÅϢϵͳרҵ×ۺϿ¼ÊÔ´ó¸Ù

2013Ä긣½¨Ê¦·¶´óѧ839ͨѶÓëÐÅϢϵͳרҵ×ۺϿ¼ÊÔ´ó¸Ù 福建师范大学硕士研究生入学考试 通讯与信息系统专业综合通讯与信息系统专业综合 考试大纲 一考查目标通信与信息系统专业综合考试涵盖信号与系统和数字电路两门学科基础课程 要求考生系统掌握上述学科的基本理论 基本知识和基本方法, 能够运用所学的基本理论 基本知识和基本方法分析和解决有关理论问题和实际问题 二 考试形式和试卷结构 1. 试卷满分及考试时间本试卷满分为 150 分, 考试时间为 180 分钟

More information

麻省理工学院

麻省理工学院 麻省理工学院电气工程与计算机科学系 6.002 电子线路 2000 秋季 实验 4 音频回放系统 讲义 F00-058 概述 : 该实验中, 同学将搭建, 测试并演示在作业 11 中设计的音频回放系统 与以前的实验相同, 将两人一组进行实验操作 实验由两部分组成 : 课前预习与实验操作 ; 没有课后任务 在实验前, 每个同学应该在实验报告中完成课前预习 在 11 月 30 日至 12 月 8 日之间进行实验操作

More information

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能

序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能 Verilog HDL 数字系统设计 王建民田晓华 1 序言 序言 本书特色 随着微电子以及计算机技术的深入发展, 传统的模拟电子电路的应用已经越来越少, 数字电路 ( 系统 ) 逐渐显式出越来越多的优势 比如数字信息更容易传输 存储和处理 ; 数字系统具有更强的抗干扰性 数字器件价格也更加低廉 数字系统设计已经成为所有电子信息类专业学生必须掌握的基础能力 硬件描述语言 (Hardware Description

More information

一 实验目的 熟悉模数转换器 (ADC) 的构成原理, 通过实验培养对小型数字系统进行设计和独立 实验的能力 二 设计要求设计要求 : 试设计一个逐次比较型六位 ADC 系统, 要求能将 0~3.2V 的模拟量转换成数字量输出 ( 以发光二极管的亮暗表示 ) 精度为 6bit, 分辨率为 0.05V

一 实验目的 熟悉模数转换器 (ADC) 的构成原理, 通过实验培养对小型数字系统进行设计和独立 实验的能力 二 设计要求设计要求 : 试设计一个逐次比较型六位 ADC 系统, 要求能将 0~3.2V 的模拟量转换成数字量输出 ( 以发光二极管的亮暗表示 ) 精度为 6bit, 分辨率为 0.05V 六位 ADC 系统设计 姓名 : 王泮渠学号 :07300720035 年级 :2007 级本科专业 : 电子信息科学与技术实验时间 : 周一下午 5-8 节实验座位号 :18 日期 :2009.11.21-2009.12.21 一 实验目的 熟悉模数转换器 (ADC) 的构成原理, 通过实验培养对小型数字系统进行设计和独立 实验的能力 二 设计要求设计要求 : 试设计一个逐次比较型六位 ADC 系统,

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - 0-滨江文本.doc

Microsoft Word - 0-滨江文本.doc 崇 明 县 规 划 设 计 院 2009 年 11 月 崇 明 县 规 划 设 计 院 规 划 设 计 证 书 编 号 :( 沪 ) 城 规 编 第 (052029) 规 划 设 计 证 书 等 级 : 乙 级 陈 家 镇 滨 江 休 闲 运 动 居 住 社 区 控 制 性 详 细 规 划 院 长 : 施 建 周 设 计 负 责 人 : 喻 梦 成 设 计 参 加 人 : 徐 国 彬 黄 祯 茂 审

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

上海市第二建筑有限公司

上海市第二建筑有限公司 上 海 建 工 二 建 集 团 有 限 公 司 2015 年 度 社 会 责 任 报 告 上 海 建 工 二 建 集 团 成 立 于 1954 年, 是 上 海 建 工 集 团 股 份 有 限 公 司 的 全 资 子 公 司 公 司 是 一 家 具 有 房 屋 建 筑 工 程 施 工 总 承 包 特 级 资 质, 市 政 公 用 工 程 施 工 总 承 包 一 级 资 质, 地 基 与 基 础 工

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌 九 峰 吟 草 一 一 一 一 一 一 一 一 一 ~- - - 一 一 -- ~ - ~ ~ ~ ~ ~.. ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~. ~ ~. ~ ~ ~ ~ - ~ ~ ~ ~ ~ ~ 一 r 气 户 孜 犷 杯 只 匀 风 向 方 镇 忆 漾 阳 七 律 壕 江 两 岸 好 风 光, 古 史 连 篇 四 面 藏 典 语 南 桥 添 锦 绣, 泠 东 半 塔 裕 民 康 西

More information

安全救护教学设计 一 教学导入 教学过程设计 二 学情了解 三 学习新课 1. 指压止血法

安全救护教学设计 一 教学导入 教学过程设计 二 学情了解 三 学习新课 1. 指压止血法 创伤救护 教学意义 教学目标 1 2 3 4 教学重点与难点 教学方法 教学时数 4 教师课前准备 1 2 PPT 学生课前准备 1 2 11 安全救护教学设计 一 教学导入 教学过程设计 二 学情了解 三 学习新课 1. 指压止血法 1 2 3 4 1.5 12 3 13 安全救护教学设计 14 2. 加压包扎止血法 1 1/3 2/3 15 安全救护教学设计 2 3cm 3 3. 止血带止血法

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

建协质(2005)20号

建协质(2005)20号 中 国 建 筑 业 协 会 工 程 建 设 质 量 管 理 分 会 文 件 建 协 质 [2013]34 号 关 于 公 布 第 四 十 五 期 全 国 工 程 建 设 质 量 管 理 小 组 活 动 诊 断 师 名 单 的 通 知 各 省 自 治 区 直 辖 市 建 筑 业 协 会 ( 联 合 会 施 工 行 业 协 会 ) 工 程 建 设 质 量 管 理 协 会, 有 关 行 业 建 设 协 会,

More information

常 州 市 新 北 区 建 设 工 程

常 州 市 新 北 区 建 设 工 程 常 州 市 新 北 区 建 设 工 程 招 标 公 告 ( 资 格 后 审 ) 编 号 :3204111607110201-BE-001 一 工 程 名 称 : 珠 江 路 ( 泰 山 路 - 衡 山 路 ) 拓 宽 改 造 工 程 项 目 二 工 程 概 况 : 1 总 投 资 额 :5230.06 万 元 2 工 程 地 点 : 新 北 区 3 建 设 规 模 :/ 4 建 设 内 容 : 施

More information

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V 3. 测量电压放大倍数调节一个频率为 1kHz 峰- 峰值为 50mV 的正弦波作为输入信号 U i 断开 DTP5 接地的线, 把输入信号连接到 DTP5, 同时用双踪示波器观察放大器输入电压 U i (DTP5 处 ) 和输出电压 U o (DTP25 处 ) 的波形, 在 U o 波形不失真的条件下用毫伏表测量下述三种情况下 :1 不变实验电路时 ;2 把 DTP32 和 DTP33 用连接线相连时

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

64 [ 46 ] (p297) 1924 :? [ 47 ] (p570) ; ; ; ; ; ; ; ; ; ; ; [ 11 ]; [ 35 ] [ 49 ] [ 32 ] ( ) [ 48 ] (p 425) [ 50 ] (p 670 6

64 [ 46 ] (p297) 1924 :? [ 47 ] (p570) ; ; ; ; ; ; ; ; ; ; ; [ 11 ]; [ 35 ] [ 49 ] [ 32 ] ( ) [ 48 ] (p 425) [ 50 ] (p 670 6 63 2002 7 ( ) ( 100871) [ ] K262. 81g. 82 [ ] A [ ] 058320214 (2002) 0720063211 ; [ 44 ] (p 202) 12 : ; ; ; : [ 42 ] (p 129 216) [ 11 ] [ 32 ] (1926 11 19 ) 1927 ( ) ; [ 45 ] (p108) [ 43 ] (p 5142515 5222523)

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Microsoft Word - 100-05-23--養生與保健_中山大學_講義

Microsoft Word - 100-05-23--養生與保健_中山大學_講義 高 雄 市 立 中 醫 醫 院 張 志 浩 醫 師 皮 膚 失 去 彈 性, 變 粗 變 乾 燥, 頭 髮 變 白, 毛 髮 稀 落, 老 人 班, 魚 尾 紋, 眼 袋 突 出 視 力 模 糊, 老 花 眼, 白 內 障 鈣 質 流 失, 腰 酸 背 痛, 骨 質 疏 鬆, 易 骨 折 記 憶 力 降 低, 精 神 不 集 中, 易 怒, 神 經 質, 焦 慮 不 安, 難 入 睡 嗅 覺 改 變

More information

1931 9 18,, 4 1933 1 1, 2 21, 1937 7 7,,,, 14, 3500, 2000 1235, 913,,,,,,, 1500, 293. 6 1946,,, 376. 6,, 895714, 3%, 1610883, 5 %, 126,,,,,, 3176123,, 153800, 484899, 354468, 976125, 895714, 239387, 71730,

More information

萬里社區老人健康照護手冊

萬里社區老人健康照護手冊 萬 里 社 區 老 人 健 康 照 護 手 冊 1. 心 肺 功 能 的 照 護 a. 每 日 運 動 至 少 30 分 鐘 ( 包 括 熱 身 運 動 ), 運 動 強 度 是 呼 吸 輕 微 增 加, 但 仍 可 互 相 交 談 不 會 有 胸 痛 氣 喘 等 狀 況 發 生, 運 動 有 流 汗 的 情 況 即 表 示 達 到 功 效, 比 較 適 當 的 運 動 包 括 打 太 極 拳 步

More information

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法1000830.doc

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法1000830.doc 法 規 名 稱 : 強 制 汽 車 責 任 保 險 承 保 及 理 賠 作 業 處 理 辦 法 修 正 日 期 : 民 國 100 年 08 月 30 日 第 一 章 總 則 第 1 條 本 辦 法 依 強 制 汽 車 責 任 保 險 法 ( 以 下 簡 稱 本 法 ) 第 四 十 六 條 規 定 訂 之 第 2 條 強 制 汽 車 責 任 保 險 證 有 關 被 保 險 汽 車 之 記 載 事 項,

More information

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc 大 家 好 今 天 很 高 兴 有 机 会 跟 各 位 探 讨 一 个 题 目 叫 做 认 识 怀 孕 与 生 产 孩 子 是 上 天 赏 赐 给 我 们 的 一 个 礼 物 现 在 怀 孕 的 妈 妈 都 已 经 拿 到 这 个 礼 物 了 而 且 可 能 都 感 觉 到 里 面 活 蹦 乱 跳 每 一 个 妈 妈 在 怀 孕 的 时 候 都 希 望 他 的 孩 子 像 图 片 上 一 样 的 是

More information

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日 新 北 市 102 學 年 度 五 年 級 國 語 文 能 力 檢 測 試 卷 五 年 班 座 號 : 姓 名 : 小 朋 友, 這 份 試 卷 共 有 兩 部 分 一 選 擇 題 : 共 32 題 請 依 照 題 意 選 出 答 案, 再 畫 記 在 答 案 卡 上 二 問 答 題 : 共 2 題 請 依 照 題 意 將 回 答 完 整 的 寫 在 答 案 紙 上 (➃)1. 下 列 選 項 中

More information

範本檔

範本檔 1 保 健 強 身 多 吃 香 蕉 雖 然 香 蕉 有 某 些 食 用 方 面 的 限 制, 但 其 豐 富 的 營 養, 在 食 物 治 療 方 面 亦 有 重 要 的 價 值, 以 下 是 香 蕉 食 療 偏 方, 提 供 給 大 家 做 參 考 : 一 治 胃 潰 瘍 : 飯 前 吃 一 根 香 蕉, 一 日 一 次 即 可, 持 續 食 用, 會 有 不 錯 的 功 效 二 防 治 動 脈

More information

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 0562-2833893 24 工 商 银 行 安 徽

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 0562-2833893 24 工 商 银 行 安 徽 附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 1 安 徽 工 商 银 行 安 徽 省 合 肥 包 河 支 行 合 肥 市 宣 城 路 158 号 关 萌 萌 0551-2868032 2 工 商 银 行 安 徽 省 合 肥 宿 州 路 支 行 合 肥 市 宿 州 路 6 号 张 虎 0551-2676596 3

More information

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調 新 北 市 土 城 區 土 城 國 民 小 學 100 學 年 度 午 餐 督 導 第 一 次 會 議 會 議 紀 錄 表 時 間 :100 年 9 月 29 日 中 午 12:40 地 點 : 土 城 國 小 第 二 會 議 室 主 席 : 陳 雨 水 校 長 會 議 紀 錄 : 鍾 君 儀 出 席 人 員 : 陳 雨 水 校 長 林 芥 佑 組 長 蘇 昭 宏 主 任 王 文 姬 主 任 陳 原

More information

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷 五 福 二 國 P1 高 雄 市 立 五 福 國 民 中 學 102 學 年 度 第 2 學 期 2 年 級 第 三 次 段 考 本 國 語 文 學 習 領 域 試 題 卷 ㄧ 國 字 注 音 :( 每 題 一 分, 共 十 二 分 ) 二 年 級 班 座 號 姓 名 1. ㄔ 梟 2. 萬 惡 淵 ㄙㄡˇ 3. 不 容 置 ㄏㄨㄟˋ 4. 口 ㄓㄨ 筆 伐 5. 鬼 迷 心 ㄑㄧㄠˋ 6. ㄅㄛˊ

More information

台北老爺校外實地參訪結案報告

台北老爺校外實地參訪結案報告 產 學 合 作 案 結 案 報 告 書 華 餐 飲 96 產 學 字 第 04 號 中 華 技 術 學 院 餐 飲 系 參 與 國 際 型 宴 會 之 餐 飲 廚 務 及 服 務 技 術 之 研 究 計 畫 甲 方 : 台 北 老 爺 大 酒 店 股 份 有 限 公 司 乙 方 : 中 華 技 術 學 院 餐 飲 管 理 系 計 劃 主 持 人 : 李 沛 溱 / 共 同 主 持 人 : 林 玉 梅

More information

糖尿病食譜

糖尿病食譜 1700 ( ) ( ) 344 15 8 53 60 2 420 1 1 50 2 35 3 1 100 ( ) ( ) 120 8 4 12 1 25 2 220cc ( ) ( ) 517 23 21 59 1 60 2 90 4 50 2 35 3 1 4 2 30 2 20 3 20 4 30 5 1 1 2 100 2 1 30 ( ) ( ) 60 15 140 ( ) ( ) 480

More information

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 / /4.5 18 1/4.8 ~1/5.2 1/4.5 ~1/4.2 1/4.76 1/4.76 19 / /4.5 g g g g 3. g g g g 4.1 2 / /4. 5 20 / / 21 g 0.4g 40 2.2~2.3 1/4.6~1/4.3 2.0.2g 0.4g 60 3.2 1/4.60.1g

More information

2 34 2 41 2 3937 1955 64 14 1957 4 2 1972 3 1 138 7 20 79 8 7 28 66 14 60 25 2 9 79 17 12 189 190 6 43 1 138 1 2 166 174 145 163 468 31 34 358 1118 131 132 513 514 865 58 292 37 21 1 142 232 244

More information

,,,,,,, (,, ),,,,,,,,,,,,,,, ,,, 4 11,, ( ),,,, ( ), :, ( ),,, 1995, 66 ; ( ),, 1996, , 3-4,,

,,,,,,, (,, ),,,,,,,,,,,,,,, ,,, 4 11,, ( ),,,, ( ), :, ( ),,, 1995, 66 ; ( ),, 1996, , 3-4,, ,,,,, ( ),,,,, 1936,,, : ( ),,, 146 ,,,,,,, (,, ),,,,,,,,,,,,,,, 1936 4 9,,, 4 11,, ( ),,,, ( ), :, 1936 12 23 7 (1936 4 11 ),,, 1995, 66 ; ( ),, 1996, 990 33, 3-4,, 10 147 2000 3,,,,,,,,, :,,,,,,,,,,,,

More information

2 34 2 41 2 3937 1955 64 14 1957 4 2 1972 3 1 138 7 20 79 8 7 28 66 14 60 25 2 9 79 17 12 189 190 6 43 1 138 1 2 166 174 145 163 468 31 34 358 1118 131 132 513 514 865 58 292 37 21 1 142 232 244

More information

人 物 春 秋 杨 永 泰 将 其 削 藩 策 略 概 括 为 : 以 经 济 方 法 瓦 解 冯 玉 祥 的 第 二 集 团 军, 以 政 治 方 法 解 决 阎 锡 山 的 第 3 集 团 军, 以 军 事 方 法 解 决 李 宗 仁 的 第 四 集 团 军, 以 外 交 方 法 对 付 张 学

人 物 春 秋 杨 永 泰 将 其 削 藩 策 略 概 括 为 : 以 经 济 方 法 瓦 解 冯 玉 祥 的 第 二 集 团 军, 以 政 治 方 法 解 决 阎 锡 山 的 第 3 集 团 军, 以 军 事 方 法 解 决 李 宗 仁 的 第 四 集 团 军, 以 外 交 方 法 对 付 张 学 和录像带 希望他能看到家乡的新面貌 还经常托回 选都要家属自行设法邀请 此事招致薛岳昔日部属 乐昌探亲的台胞把亲人的问候与祝福转达 这一切 大感不平 薛岳大半生追随孙中山蒋介石 在北伐 让客居他乡的薛岳异常感动 家乡政府也没有忘记 时期曾与毛泽东周恩来有革命情谊 蒋经国犹是他 这位抗日英雄 专门拨款对他在九峰的故居进行修 的后生晚辈 这位走过波涛壮阔的人生历程 与中 葺 他的祖祠文物及 伯陵堂等建筑物都得到了妥

More information

10002c (16).S72

10002c (16).S72 第 1 7 章脉冲波形的产生和变换 教学基本要求掌握 :1 矩形脉冲波 锯齿波电压的主要参数 2 几种常用的脉冲波形产生与变换电路 ( 微分 积分 限幅器 单稳 多谐 施密特触发器 ) 的特性和功能 理解 :1 几种常用脉冲波形产生与变换电路的工作原理 输出波形的分析及其应用 2 555 定时器的基本原理及典型应用 3 锯齿波电压发生器的基本原理及改善线性的两种方法 了解 :555 定时器内部结构框图

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

RC总监办主要职责.doc

RC总监办主要职责.doc RC 总 办 织 机 构 与 职 责 一 机 构 本 项 目 按 二 级 机 构 设 置,RC 合 同 段 设 总 工 程 师 办 公 室 一 个 驻 地 工 程 师 办 公 室 ( 以 下 简 称 驻 地 办 ) 两 个 总 办 驻 地 办 根 据 本 工 程 实 际 情 况 以 及 履 行 施 工 职 责 的 要 求 设 置 内 部 工 程 部 中 心 试 验 室 综 合 部 等 机 构, 并

More information

<4D F736F F D2034A1B6BFC9B1E0B3CCC2DFBCADC6F7BCFEBCB0D3A6D3C3A1B7BFCEB3CCBDCCD1A7B4F3B8D9>

<4D F736F F D2034A1B6BFC9B1E0B3CCC2DFBCADC6F7BCFEBCB0D3A6D3C3A1B7BFCEB3CCBDCCD1A7B4F3B8D9> 一 课程基本情况 可编程逻辑器件及应用 课程教学大纲 课程编号 010257 010259 课程类别 必修 限选 任选 学时 / 学分 48/16 课程名称 ( 中文 ) 可编程逻辑器件及应用 ( 英文 ) Programmable Logic Device and Application 教学方式 课堂讲授为主 实验为主 自学为主 专题讨论为主 课程学时 课内总学时 课内学时分配 课外学时分配 及其分配

More information

Microsoft Word - 46FBA383-2BB7-2822AE.doc

Microsoft Word - 46FBA383-2BB7-2822AE.doc 电子技术基础课程设计 (I) ( 基础训练部分 ) 张淑琴编撰 于 枫校审 吉林大学电子信息工程 2007 年 9 月 第一篇 课程设计的基础知识 电子技术基础课程设计包括选择课题 电子电路设计 组装 调试和编写总结报告等教学环节 本篇介绍课程设计的有关知识 l-l 电子电路的设计方法 在设计一个电子电路系统时, 首先必须明确系统的设计任务, 根据任务进行方案选择, 然后对方案中的各部分进行单元电路的设计

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

内容简介 本书根据近年来数字电子技术的新发展和作者多年的教学实践积累, 针对数字电子技术课程教学基本要求和学习特点编写而成 全书内容包括数制与码制 逻辑代数 逻辑门电路 组合逻辑电路 触发器 时序逻辑电路 脉冲产生和整形电路 半导体存储器 可编程逻辑器件 /D 与 D/ 转换和数字电路综合案例等 本

内容简介 本书根据近年来数字电子技术的新发展和作者多年的教学实践积累, 针对数字电子技术课程教学基本要求和学习特点编写而成 全书内容包括数制与码制 逻辑代数 逻辑门电路 组合逻辑电路 触发器 时序逻辑电路 脉冲产生和整形电路 半导体存储器 可编程逻辑器件 /D 与 D/ 转换和数字电路综合案例等 本 普通高等教育 十二五 规划教材 信息与电子技术类系列教材 数字电子技术 刘琨主编 李克勤乔瑞芳副主编 北 京 科学出版社职教技术出版中心 www.aboo 内容简介 本书根据近年来数字电子技术的新发展和作者多年的教学实践积累, 针对数字电子技术课程教学基本要求和学习特点编写而成 全书内容包括数制与码制 逻辑代数 逻辑门电路 组合逻辑电路 触发器 时序逻辑电路 脉冲产生和整形电路 半导体存储器 可编程逻辑器件

More information

Microsoft Word - RAP 050120 CHI.doc

Microsoft Word - RAP 050120 CHI.doc 利 用 世 行 贷 款 柳 州 市 环 境 治 理 工 程 移 民 安 置 计 划 柳 州 市 城 市 投 资 建 设 发 展 有 限 公 司 柳 州 市 环 境 卫 生 管 理 处 二 00 五 年 一 月 二 十 日 0 目 录 第 一 章 项 目 简 述...6 1.1 水 环 境 综 合 治 理 项 目...8 1.2 城 市 公 厕 项 目...12 1.3 垃 圾 转 运 站 建 设 项

More information

赔 偿 ), 保 险 公 司 在 其 承 保 范 围 内 承 担 赔 偿 责 任 ;2 案 件 受 理 费 由 四 被 告 承 担 为 支 持 其 诉 讼 主 张, 原 告 江 明 相 在 举 证 期 限 内 向 本 院 提 供 了 下 列 证 据 材 料 供 法 庭 组 织 质 证 : 1 鉴 定

赔 偿 ), 保 险 公 司 在 其 承 保 范 围 内 承 担 赔 偿 责 任 ;2 案 件 受 理 费 由 四 被 告 承 担 为 支 持 其 诉 讼 主 张, 原 告 江 明 相 在 举 证 期 限 内 向 本 院 提 供 了 下 列 证 据 材 料 供 法 庭 组 织 质 证 : 1 鉴 定 原 告 江 明 相 贵 州 省 织 金 县 人 民 法 院 民 事 判 决 书 委 托 代 理 人 江 如 红 ( 系 原 告 长 子 ) 委 托 代 理 人 江 如 平 ( 系 原 告 次 子 ) 被 告 李 启 富 被 告 龚 忠 吉 被 告 中 国 太 平 洋 财 产 保 险 股 份 有 限 公 司 重 庆 分 公 司 法 定 代 表 人 周 炯, 该 公 司 总 经 理 委 托 代 理 人

More information

0000001

0000001 烟 台 市 综 合 实 践 教 育 研 究 室 文 件 烟 教 实 研 发 2016 4 号 烟 台 市 综 合 实 践 教 育 研 究 室 关 于 公 布 第 二 届 全 市 中 小 学 综 合 实 践 活 动 优 课 及 优 质 课 程 资 源 评 选 获 奖 名 单 的 通 知 各 县 市 区 教 体 局 教 研 室, 开 发 区 综 合 实 践 教 育 中 心, 高 新 区 教 育 办 公

More information

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框 第 二 篇 - 人 文 地 理 ( 五 ) 民 宅 的 祈 福 辟 邪 物 臺 灣 早 期 移 民, 因 為 離 鄉 背 井, 對 於 新 環 境 的 陌 生, 以 及 生 存 的 不 容 易, 再 加 上 承 襲 閩 粵 地 區 的 習 慣, 所 以 住 屋 講 究 的 是 祈 福 辟 邪 除 了 建 屋 之 前 要 看 地 理 風 水, 在 建 屋 時 更 有 許 多 禁 忌 要 遵 守 另 外,

More information

一 实验目的 1. 了解按需式心脏起搏器的工作方式 2. 掌握心脏起搏脉冲发生器的设计方法 3. 熟悉心脏刺激脉冲发放的控制原理 二 实验原理一幅典型的人体心电图如下所示 : 心脏起搏器是以 R 波作为控制起搏脉冲发放的识别信号 由图可以看出, 由于 R 波的斜率比 T 波大, 而宽度比 T 波窄,

一 实验目的 1. 了解按需式心脏起搏器的工作方式 2. 掌握心脏起搏脉冲发生器的设计方法 3. 熟悉心脏刺激脉冲发放的控制原理 二 实验原理一幅典型的人体心电图如下所示 : 心脏起搏器是以 R 波作为控制起搏脉冲发放的识别信号 由图可以看出, 由于 R 波的斜率比 T 波大, 而宽度比 T 波窄, 心脏起博电路设计 PACER Circuit Design 07300720035 电子信息科学与技术王泮渠 (Department of Electrical Engineering, Chris Wang) 2010.3-2010.4 1 一 实验目的 1. 了解按需式心脏起搏器的工作方式 2. 掌握心脏起搏脉冲发生器的设计方法 3. 熟悉心脏刺激脉冲发放的控制原理 二 实验原理一幅典型的人体心电图如下所示

More information

Microsoft PowerPoint - vlsi_chapter08

Microsoft PowerPoint - vlsi_chapter08 第 8 章高速 MOS 逻辑电路设计 本章目录 8. 门延时 8. 驱动大电容负载 8. 逻辑努力 (ogical Effot) 8.4 BiMOS 驱动器 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 MOS 逻辑门的开关时间 上升时间 : t 下降时间 : t f t 0 t f 0 α p n α 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 参照晶体管

More information

中心介绍100413(再改).doc

中心介绍100413(再改).doc 内 部 资 料 注 意 保 存 2010 第 5 期 总 85 期 城 市 商 业 银 行 资 金 清 算 中 心 编 资 金 清 算 中 心 如 期 完 成 电 子 商 业 汇 票 系 统 推 广 上 线 模 拟 运 行 5 月 4 日 至 5 月 20 日, 资 金 清 算 中 心 组 织 51 家 接 入 行 参 加 人 行 电 子 商 业 汇 票 系 统 推 广 上 线 模 拟 运 行, 并

More information

Microsoft Word - zw

Microsoft Word - zw 第 1 章单片机基础知识概述 内容概述 : 本章主要介绍单片机的定义 发展历史, 单片机分类方法 应用领域及发展趋势, 单片机中数的表示和运算方法, 基本逻辑门电路, 以及与单片机系统仿真工具 Proteus 相关的内容 教学目标 : 了解单片机的概念及特点 ; 掌握单片机中数的表示和运算方法及基本逻辑门电路 ; 初步了解 Proteus 软件的功能 1.1 单片机概述 1.1.1 单片机及其发展概况

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

〖HJ〗〖KMB〗〖MM(〗〖HT5H〗电工及电子技术基础课程自学考试大纲〖MM)〗

〖HJ〗〖KMB〗〖MM(〗〖HT5H〗电工及电子技术基础课程自学考试大纲〖MM)〗 天津市高等教育自学考试课程考试大纲 课程名称 : 电工及电子技术基础课程代码 :3019 4128 编写弁言 中华人民共和国高等教育法 第二十一条规定 国家实行高等教育自学考试制度, 经考试合格的, 发给相应的学历证书或其它学业证书 高等教育自学考试的开考专业根据经济建设和社会发展的需要设置 当前, 中国高等职业技术教育正处于发展时期 发展职业技术教育是促进经济 社会发展和社会主义精神文明建设的重要途径

More information

Microsoft Word - 梁斌言:2016年度全省职业教育工作会议总结讲话提纲.doc

Microsoft Word - 梁斌言:2016年度全省职业教育工作会议总结讲话提纲.doc 2016 年 度 全 省 职 业 教 育 工 作 会 议 总 结 讲 话 提 纲 梁 斌 言 一 会 议 小 结 刚 才, 有 七 位 同 志 作 了 典 型 发 言 讲 的 都 很 好 由 于 时 间 较 短, 他 们 没 能 展 开, 但 仍 然 给 我 们 以 很 大 启 发 徐 厅 长 在 讲 话 中, 全 面 总 结 了 五 年 以 来 现 代 职 教 体 系 建 设 的 成 就 和 经

More information

中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 2016 年 是 实 施 十 三 五 规 划 的 开 局 之 年, 是 推 进 全 面 从 严 治 党 的 深 化 之 年, 是 决 胜 脱 贫 攻 坚 的 关 键 之 年 机 关 党 的

中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 2016 年 是 实 施 十 三 五 规 划 的 开 局 之 年, 是 推 进 全 面 从 严 治 党 的 深 化 之 年, 是 决 胜 脱 贫 攻 坚 的 关 键 之 年 机 关 党 的 广 食 药 监 党 组 发 2016 5 号 中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 关 于 印 发 2016 年 机 关 党 的 工 作 要 点 的 通 知 各 级 党 组 织 : 现 将 中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 印 发 给 你 们, 请 结 合 实 际 抓 好 贯 彻 落 实 附 件 :1.2016

More information

“秦火火”玩“火”自焚

“秦火火”玩“火”自焚 学 习 参 考 (2014 年 第 5 期 ) 党 委 组 织 部 党 委 宣 传 部 二 〇 一 四 年 七 月 社 会 主 义 核 心 价 值 观 基 本 内 容 : 富 强 民 主 文 明 和 谐, 自 由 平 等 公 正 法 治, 爱 国 敬 业 诚 信 友 善 目 录 基 层 党 建 中 共 中 央 办 公 厅 印 发 2014-2018 年 全 国 党 员 教 育 培 训 工 作 规 划

More information

简 讯 : 庐 江 县 气 象 监 测 预 警 中 心 主 体 结 构 顺 利 封 顶 肥 西 县 政 府 出 台 乡 镇 气 象 工 作 目 标 管 理 考 核 细 则 庐 江 县 组 织 召 开 乡 镇 气 象 灾 害 防 御 工 作 会 议 长 丰 县 局 积 极 组 织 开 展 无 偿 献

简 讯 : 庐 江 县 气 象 监 测 预 警 中 心 主 体 结 构 顺 利 封 顶 肥 西 县 政 府 出 台 乡 镇 气 象 工 作 目 标 管 理 考 核 细 则 庐 江 县 组 织 召 开 乡 镇 气 象 灾 害 防 御 工 作 会 议 长 丰 县 局 积 极 组 织 开 展 无 偿 献 合 肥 气 象 工 作 2015 年 第 八 期 总 第 246 期 本 期 导 读 : 加 强 新 合 作 确 立 新 标 杆 局 市 携 手 共 同 加 快 合 肥 率 先 实 现 气 象 现 代 化 合 肥 市 领 导 关 心 气 象 事 业 发 展 合 肥 市 财 政 大 力 支 持 和 保 障 气 象 事 业 发 展 合 肥 市 落 实 气 象 事 业 单 位 人 员 绩 效 工 资 合

More information

2013年全国农村妇女科学素质网络竞赛活动总结

2013年全国农村妇女科学素质网络竞赛活动总结 2013 年 全 国 农 村 妇 女 科 学 素 质 网 络 竞 赛 活 动 总 结 为 全 面 贯 彻 党 的 十 八 大 精 神, 落 实 全 民 科 学 素 质 行 动 计 划 纲 要 实 施 方 案 (2011 2015 年 ), 提 高 农 村 妇 女 运 用 互 联 网 获 取 农 业 生 产 科 学 生 活 低 碳 环 保 等 方 面 的 知 识 和 技 术, 引 导 农 村 妇 女

More information

目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1.

目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1. 目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1.3.3 毕 业 生 性 别 分 布...4 1.3.4 毕 业 生 生 源 分 布...5 1.4 毕

More information

0卷首语.FIT)

0卷首语.FIT) 筅 准 确 把 握 三 全 精 神 央 主 席 认 真 履 行 参 政 党 职 能 张 宝 文 共 十 八 届 三 全 是 在 我 国 改 革 发 展 的 重 要 关 头, 在 全 面 建 成 小 康 社 决 定 性 阶 段 召 的 一 次 重 要 议 全 鲜 明 地 举 旗 定 向 勾 画 蓝 图, 释 放 出 坚 定 不 移 地 推 进 改 革 放 的 强 烈 信 号, 对 国 特 色 社 主

More information

版块一 研究生学长对《自然地理学》科目的总结

版块一 研究生学长对《自然地理学》科目的总结 版 块 一 研 究 生 学 长 对 自 然 地 理 学 科 目 的 总 结 一 考 试 范 围 和 重 点 ( 地 学 考 研 中 心 提 供 ) 1 题 型 方 面 ( 首 师 大 自 然 考 研 群 306642939) 从 下 表 中 可 以 看 出, 首 师 自 然 地 理 学 出 题 从 07 年 采 用 名 解 + 简 答 + 论 述 的 形 式, 只 不 过 各 年 各 题 型 的 数

More information

北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京 化 工 大 学 高 度 重 视 毕 业 生 就 业

北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京 化 工 大 学 高 度 重 视 毕 业 生 就 业 北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 学 生 就 业 指 导 服 务 中 心 二 〇 一 四 年 十 二 月 北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京

More information

2014年9月月讯

2014年9月月讯 科 技 动 态 3 月 快 讯 ( 国 家 自 然 科 学 基 金 申 报 专 刊 ) 主 办 : 科 技 处 责 编 : 李 文 凤 校 对 : 李 伟 2015 年 总 第 20 期 太 原 理 工 大 学 科 技 信 息 QQ 群 号 :203560682 科 研 经 费 ( 单 位 : 万 元 ) 时 间 2015.1.1-2015.3.31 2014.1.1-2014.3.31 同 比 增

More information