RAM的设计

Size: px
Start display at page:

Download "RAM的设计"

Transcription

1 存储器的设计 寻址存储器 (RAM 和 ROM) ROM 和 RAM 属于通用大规模器件, 一般不需要自行设计, 特别是采用 PLD 器件进行设计时 ; 但是在数字系统中, 有时也需要设计一些小型的存储器件, 用于特定的用途 : 临时存放数据, 构成查表运算等 此类器件的特点为地址与存储内容直接对应, 设计时将输入地址作为给出输出内容的条件 ; RAM 随机存储器 RAM 的用途是存储数据, 其指标为存储容量和字长 ; RAM 的内部可以分为地址译码和存储单元两部分 ; 外部端口为 : wr 写读控制 cs 片选 d 数据端口 adr 地址端口 例 16x8 位 RAM 的设计设计思想 : 将每个 8 位数组作为一个字 (word); 总共存储 16 个字 ; 将 ram 作为由 16 个字构成的数组, 以地址为下标 ; 通过读写控制模式实现对特定地址上字的读出或写入 ; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

2 entity kram is port ( clk,wr,cs: in std_logic; d: inout std_logic_vector(7 downto 0); adr: in std_logic_vector(3 downto 0)); end kram; architecture beh of kram is subtype word is std_logic_vector(7 downto 0); type memory is array (0 to 15) of word; signal adr_in:integer range 0 to 15; signal sram:memory; adr_in<=conv_integer (adr); process(clk) if(clk'event and clk='1') then if (cs='1'and wr='1') then sram (adr_in)<=d; if (cs='1'and wr='0' ) then d<=sram (adr_in); end process; end beh; RAM 的数据端口通常为 inout 模式, 设置仿真输入时只能在写入时将信号加到该输入端口上, 在其他时候输入应设置为高阻态 ; RAM 设计时需要注意器件的大小, 一个 16x8 位的 RAM 大约占用 200 个门, 而 256x16 的 RAM 则需要 6200 门以上,

3 因此大规模 RAM 不适合于采用 PLD 设计, 最好采用通用器 件 ; ROM 只读存储器 ROM 的内容是初始设计电路时就写入到内部的, 通常采用电路的固定结构来实现存储 ;ROM 只需设置数据输出端口和地址输入端口 ; 例 1 简单 ROM 的设计 (16x8 位 ROM) 设计思想 : 采用二进制译码器的设计方式, 但将每个输入组态对应的输出与一组存储数据对应起来 ; library ieee; use ieee.std_logic_1164.all; entity rom is port(dataout: out std_logic_vector(7 downto 0); addr: in std_logic_vector(3 downto 0); ce: in std_logic); end rom; architecture d of rom is dataout <= " " when addr ="0000" and ce='0' else " " when addr ="0001" and ce='0' else " " when addr ="0010" and ce='0' else " " when addr ="0011" and ce='0' else " " when addr ="0100" and ce='0' else " " when addr ="0101" and ce='0' else " " when addr ="0110" and ce='0' else

4 end d; " " when addr ="0111" and ce='0' else " " when addr ="1000" and ce='0' else " " when addr ="1001" and ce='0' else " " when addr ="1010" and ce='0' else " " when addr ="1011" and ce='0' else " " when addr ="1100" and ce='0' else " " when addr ="1101" and ce='0' else " " when addr ="1110" and ce='0' else " " when addr ="1111" and ce='0' else "XXXXXXXX"; 对于较大的 ROM, 可以采用结构设计的方法, 直接调用参数化模块进行设计 ; 例 ROM 的 LPM 设计 (256x8 位 ROM) library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; entity romlpm is port(address: in std_logic_vector(7 downto 0); inclock: in std_logic; q: out std_logic_vector(7 downto 0)); end romlpm; architecture str of romlpm is signal sub_wire0:std_logic_vector(7 downto 0); q<=sub_wire0(7 downto 0); lpm_rom_component:lpm_rom generic map( lpm_width =>8, lpm_widthad =>8,

5 lpm_address_control=>"registered", lpm_outdata => "unregistered", lpm_file=> "krom2.mif") port map( address=>address, inclock=>inclock, q =>sub_wire0); end str; ROM 的初始化在 ROM 的设计中, 必须要预先设置好数据存储文件, 这是一种以.mif 为后缀的文本文件, 在任何文本编辑器中, 按如下文件格式写入 : DEPTH = 16; 字线数量 WIDTH = 4; 位线数量 ADDRESS_RADIX = HEX; 地址与数据的表达类型 DATA_RADIX = HEX; 可以选择 :HEX OCT DEC BIN CONTENT 存储内容地址 : 数据 ; BEGIN [0..F] : 3; 2 : ; 8 : F E 5; END ; 文件写完后, 保存为.mif 即可 例 :4 位查表式乘法器设计功能 : 将两个 4 位二进制数 A 和 B 相乘, 输出乘积结果 C(8 位二进制数 ); 设计方案 : 采用 256x8 位 ROM 实现,8 位地址输入 ( 高 4 位为 A, 低 4 位为 B),256 个存储字 ;8 位数据输出 ; 数据存储文件 (krom2.mif): depth = 256; width = 8; 填写相应的乘法表即可

6 address_radix = hex; data_radix = hex; content [00..0f] : 00 ; 10 : a 0b 0c 0d 0e 0f; 20 : a 0c 0e a 1c 1e; 30 : c 0f b 1e a 2d; 40 : c c c c; 50 : a 0f d d c b; 60 : c e 24 2a c e 54 5a; 70 : e 15 1c 23 2a f 46 4d 54 5b 62 69; 80 : ; 90 : b 24 2d 36 3f a 63 6c 75 7e 87; a0 : 00 0a 14 1e c a 64 6e c 96; b0 : 00 0b c d e f 9a a5; c0 : 00 0c c c c a8 b4; d0 : 00 0d 1a e 5b f 9c a9 b6 c3; e0 : 00 0e 1c 2a e 8c 9a a8 b6 c4 d2; f0 : 00 0f 1e 2d 3c 4b 5a a5 b4 c3 d2 e1; end; 该乘法器 ROM 设计完毕后, 将其设置为符号文件, 将来就可以在 VHDL 程序中用 component 语句调用了 在 maxplus2 的仿真器窗口内, 也可以直接生成 ROM 的初始化文件, 其步骤如下 : 选择 Initialize/Initialize Memory ; 按 ROM 地址输入数据 ; 存盘即可生成指定的 mif 文件 ( 文件名已经在结构体内指明 );

7 顺序存储器 ( 堆栈和 FIFO) 顺序存储器的特点是不设置地址, 所有数据的写入和读出都按顺序进行 ; 数据写入或读出时通常会进行移位操作 ; 在设计时必须考虑各存储单元的存储状态 ; 堆栈 ( 后进先出存储器 ) 要求 : 存入数据按顺序排放, 存储器全满时给出信号并拒绝继续存入 ; 读出时按后进先出原则 ; 存储数据一旦读出就从存储器中消失 ; 设计思想 : 将每个存储单元设置为字 (word); 存储器整体作为由字构成的数组 ; 为每个字设置一个标记 (flag), 用以表达该存 储单元是否已经存放了数据 ; 每写入或读出一个数据时, 字的数组内容进行相应的移动, 标记也做相应的变化 ; 程序示例 : library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all; entity stack is port(datain: in std_logic_vector(7 downto 0); push,pop,reset,clk:in std_logic; stackfull:out std_logic; dataout: buffer std_logic_vector(7 downto 0)); end stack;

8 architecture b of stack is type arraylogic is array(15 downto 0) of std_logic_vector(7 downto 0); signal data :arraylogic; signal stackflag:std_logic_vector(15 downto 0); stackfull<=stackflag(0); process(clk,reset,pop,push) variable selfunction: std_logic_vector(1 downto 0); selfunction:=push & pop; if reset='1' then stackflag<=(others=>'0'); dataout<=(others=>'0'); for i in 0 to 15 loop data(i)<=" "; end loop; elsif clk'event and clk='1' then case selfunction is when "10" => if stackflag(0)= 0 then data(15)<=datain; stackflag<='1'&stackflag(15 downto 1); for i in 0 to 14 loop data(i)<=data(i+1); end loop; when "01" => dataout<=data(15); stackflag<=stackflag(14 downto 0)&'0'; for i in 15 downto 1 loop data(i)<=data(i-1); end loop; when others=>null; end case;

9 end process; end b; 以上程序是基于移位寄存器的设计思想 ; 若基于存储器的设计思想, 则可以设置一个指针 (point), 表示出当前写入或读出单元的地址, 使这种地址进行顺序变化, 就可以实现数据的顺序读出或写入 ; 程序示例 library 说明和 entity 设计与上面程序完全相同 ; architecture b of stack is type arraylogic is array(15 downto 0) of std_logic_vector(7 downto 0); signal data :arraylogic; process(clk,reset,pop,push) variable p:natural range 0 to 15; variable selfunction: std_logic_vector(1 downto 0); variable s:std_logic; stackfull<=s; selfunction:=push & pop; if reset='1' then p:=0;dataout<=(others=>'0');s:='0'; for i in 0 to 15 loop data(i)<=" "; end loop; elsif clk'event and clk='1' then if p<15 and selfunction="10" then data(p)<=datain; p:=p+1; if p=15 and selfunction="10" and s='0' then

10 data(p)<=datain; s:='1'; if p>0 and selfunction="01" and s='0' then p:=p-1; dataout<=data(p); if p=15 and selfunction="01" and s='1' then dataout<=data(p); s:='0'; end process; end b; FIFO( 先进先出存储器 ) 要求 : 存入数据按顺序排放, 存储器全满时给出信号并拒绝继续存入, 全空时也给出信号并拒绝读出 ; 读出时按先进先 出原则 ; 存储数据一旦读出就从存储器中消失 ; 设计思想 : 结合堆栈指针的设计思想, 采用环行寄存器方式进行设计 ; 分别设置写入指针 wp 和读出指针 rp, 标记下一个写入地址和读出地址 ; 地址随写入或读出过程顺序变动 ; 设置全空标记和全满标记以避免读出或写入的错误 ; 设计时需要注意处理好从地址最高位到地址最地位的变化 ; 程序示例 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all;

11 entity kfifo is port(datain: in std_logic_vector(7 downto 0); push,pop,reset,clk:in std_logic; full,empty:out std_logic; dataout: out std_logic_vector(7 downto 0)); end kfifo; architecture b of kfifo is type arraylogic is array(15 downto 0) of std_logic_vector(7 downto 0); signal data :arraylogic; signal fi,ei:std_logic;-- 为全满全空设置内部信号, 以便内部调用 ; signal wp,rp:natural range 0 to 15; -- 指针 ; process(clk,reset,pop,push) variable selfunction: std_logic_vector(1 downto 0); full<=fi;empty<=ei; selfunction:=push & pop; if reset='1' then wp<=0;rp<=0;fi<='0';ei<='1'; -- 初始指针处于 0 位 ; dataout<=(others=>'0'); for i in 0 to 15 loop data(i)<=" "; end loop; elsif clk'event and clk='1' then --write; if fi='0' and selfunction="10" and wp<15 then data(wp)<=datain;

12 wp<=wp+1; if wp=rp then fi<='1'; if ei='1' then ei<='0'; if fi='0' and selfunction="10" and wp=15 then data(wp)<=datain; wp<=0; if wp=rp then fi<='1'; if ei='1' then ei<='0'; --read; if ei='0' and selfunction="01" and rp<15 then dataout<=data(rp); rp<=rp+1; if wp=rp then ei<='1'; if fi='1' then fi<='0'; if ei='0' and selfunction="01" and rp=15 then dataout<=data(rp); rp<=0; if wp=rp then ei<='1'; if fi='1' then fi<='0'; end process; end b; 采用参数化模块直接形成 FIFO 的设计由于各类存储器通常都会占用较多的硬件资源, 直接采用已得到优化的参数化模块通常可以取得较好的效果 ; 程序示例 library ieee;

13 use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; entity fifo2 is port(data: in std_logic_vector(7 downto 0); wrreq,rdreq,clock,aclr:in std_logic; q: out std_logic_vector(7 downto 0); full,empty: out std_logic; usedw: out std_logic_vector(3 downto 0)); end fifo2; architecture str of fifo2 is signal sub_wire0:std_logic_vector(3 downto 0); signal sub_wire1:std_logic; signal sub_wire2:std_logic_vector(7 downto 0); signal sub_wire3:std_logic; usedw<=sub_wire0(3 downto 0); empty<=sub_wire1; q<=sub_wire2(7 downto 0); full<=sub_wire3; lpm_fifo_component:lpm_fifo generic map( lpm_width =>8, lpm_numwords =>16, lpm_widthu=>4, lpm_showahead => "off", lpm_hint=> "use_eab=on,maximize_speed=5") port map( rdreq=>rdreq,aclr=>aclr,clock=>clock, wrreq=>wrreq,data=>data, usedw=>sub_wire0, empty=>sub_wire1,

14 q =>sub_wire2, full=>sub_wire3); end str;

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路 数字电路与系统设计 EDA 实验 VHDL 设计初步 主讲 : 杨明磊 Email: mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室 2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

1986 1 20 (1) (4) (6) (9) (17) (22) (23) (27) (33) (34) (35) (35) (96) (36) (37) (38) (39) (39) (40) (40) (41) (42) (43) (44) (44) (45) (45) (46) ( ) (50) ( ) (51) ( ) (52) (53) (55) (56) (59) (62) (67)

More information

中国生态文明奖先进集体和先进个人建议吊单公示

中国生态文明奖先进集体和先进个人建议吊单公示 中 国 生 态 文 明 奖 先 进 个 人 公 示 序 作 为 殡 葬 行 业 持 久 性 有 机 污 染 物 研 究 学 科 带 头 人, 率 先 在 殡 葬 行 业 开 展 了 二 恶 英 减 排 和 重 金 属 汞 减 排 技 术 研 究 通 过 自 主 创 1 王 玮 民 政 部 一 零 一 研 究 所 科 室 主 任 新 和 技 术 优 化, 研 发 了 遗 体 火 化 遗 物 祭 品 焚

More information

投 入 建 设 经 费 3600 万 元, 立 项 建 设 19 个 研 究 生 公 共 实 验 课 程 教 学 平 台, 依 托 实 验 课 程 平 台 开 设 研 究 生 实 验 课 程 109 门, 系 统 训 练 并 提 升 了 研 究 生 知 识 应 用 能 力 工 程 认 知 能 力,

投 入 建 设 经 费 3600 万 元, 立 项 建 设 19 个 研 究 生 公 共 实 验 课 程 教 学 平 台, 依 托 实 验 课 程 平 台 开 设 研 究 生 实 验 课 程 109 门, 系 统 训 练 并 提 升 了 研 究 生 知 识 应 用 能 力 工 程 认 知 能 力, 五 附 件 目 录 附 件 1: 成 果 总 结 以 提 升 工 程 能 力 为 核 心 的 全 日 制 工 程 硕 士 培 养 模 式 创 新 与 实 践 为 贯 彻 落 实 国 家 关 于 建 设 人 才 强 国 和 人 力 资 源 强 国 的 战 略 部 署, 2009 年 教 育 部 进 行 研 究 生 教 育 改 革, 开 始 实 施 以 应 届 本 科 毕 业 生 为 主 体 的 全 日

More information

4.1 VHDL VHDL 4-1 a b & c 4-1 2

4.1 VHDL VHDL 4-1 a b & c 4-1 2 4.1 VHDL 4.2 VHDL 4.3 VHDL 4.4 VHDL 4.5 1 4.1 VHDL 4.1.1 VHDL 4-1 a b & c 4-1 2 ( 4-1 ) (1) a b c ( 1 ) (2) c=a b CPU VHDL 3 VHDL 4-2 a b & c a c b c a b 4-2 VHDL 4 1 ENTITY IS d0 & 1 q END d1 & sel 1

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled 論 FPGA FPGA External Step Motor Control Module 立 老 林 年 立 老 論 VHDL VHDL 了 論 老 了 度 老 立 老 不 老 都 度 行 流 VHDL 年 來 論 了 不 識 念 VHDL IEEE IEEE 1076 1993 年 度 VHDL 不 FPGACPLD 了 路 利 廉 FPGA 立 利 VHDL ( MAXPLUS) 路 (

More information

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239>

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239> 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 学 生 工 作 部 ( 处 ) 二 〇 一 五 年 七 月 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 编 委 会 主 编 于 成 文 盛 佳 伟 编 委 ( 按 姓 氏 笔 画 排 序 ) 丁 煦 生 尹 兆 华 龙 洋 史 立 伟 曲 涛 刘 晓 东 杜 振 民 杨 雄 何 进 宋 波 张 卫 冬 张 卫 钢 张 文

More information

桂林市劳动和社会保障局关于

桂林市劳动和社会保障局关于 桂 林 市 人 力 资 源 和 社 会 保 障 局 文 件 市 人 社 发 2012 60 号 桂 林 市 人 力 资 源 和 社 会 保 障 局 关 于 2012 年 秘 书 等 十 八 个 职 业 国 家 职 业 资 格 全 国 全 区 统 一 考 试 有 关 问 题 的 通 知 各 有 关 单 位 : 根 据 自 治 区 人 力 资 源 和 社 会 保 障 厅 关 于 做 好 2012 年 国

More information

Microsoft Word 年度选拔硕博连读研究生的通知.doc

Microsoft Word 年度选拔硕博连读研究生的通知.doc 南 工 (2015) 研 字 第 3 号 关 于 选 拔 2015 年 度 硕 博 连 读 研 究 生 的 通 知 各 有 关 学 院 : 为 适 应 我 国 构 建 社 会 主 义 和 谐 社 会 和 建 设 创 新 型 国 家 的 战 略 需 要, 加 快 拔 尖 创 新 人 才 的 培 养, 我 校 决 定 继 续 推 荐 和 选 拔 一 批 基 本 素 质 好 业 务 基 础 强 品 学 兼

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

Go构建日请求千亿微服务最佳实践的副本

Go构建日请求千亿微服务最佳实践的副本 Go 构建 请求千亿级微服务实践 项超 100+ 700 万 3000 亿 Goroutine & Channel Goroutine Channel Goroutine func gen() chan int { out := make(chan int) go func(){ for i:=0; i

More information

8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F

8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F 8 电梯控制器的设计 本节采用 VHDL 语言设计一个电梯控制器, 具备民用电梯的基本功能 8.1 设计要求 设计一个单轿厢电梯控制器, 该电梯可以控制电梯完成 10 个以下楼层的载客服务, 并具有以下功能 : ⑴. 每层电梯入口均设有电梯上下运行请求按钮, 轿厢内设有楼层选择开关 ⑵. 电梯具备提前关门和延时关门功能, 可根据乘客的请求进行时间调整 ⑶. 能够显示电梯的运行情况 楼层间的运行时间以及电梯所在楼层的等待时间

More information

_付_中认协注_2007_118号1.doc

_付_中认协注_2007_118号1.doc 1 2006-2-CQ00009 2 3 4 2006-1-CQ00005 2006-1-CQ00733 2006-1-CQ00002 5 2006-1-CQ00360 6 7 8 9 10 2006-1-CQ00358 2006-1-CE00114 2006-1-CQ00359 2006-1-CE00066 2006-1-CQ00185 11 2006-1-CE00212 12 13 14 15

More information

2010年春季中国海洋大学学位论文答辩及学位授予工作流程

2010年春季中国海洋大学学位论文答辩及学位授予工作流程 中 国 海 洋 大 学 2016 年 春 季 学 位 论 文 答 辩 及 学 位 授 予 工 作 流 程 ( 适 用 于 全 日 制 博 士 工 程 博 士 全 日 制 学 术 型 学 位 及 全 日 制 专 业 学 位 研 究 生 ) 一 提 交 预 计 毕 业 申 请 时 间 即 日 起 至 2016 年 3 月 15 日 前 1 系 统 内 毕 业 时 间 为 2016 年 6 月 于 2016

More information

Microsoft Word - EDA2006_A_Answer

Microsoft Word - EDA2006_A_Answer 大规模数字集成电路设计 试卷 A 标准答案与评分细则 ( 卷面总分 :80 分 ) 一. 名词解释 (2 分 6 题 )( 评分标准 : 给出正确英文的 2 分 / 题, 仅给中文解释 1 分 / 题 ) 1. EDA:Electronic Design Automation 2. FPGA:Field Programmable Gate-Array 3. ASIC:Application Specific

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

Microsoft Word - 朗诵诵材.doc

Microsoft Word - 朗诵诵材.doc 2014 年 全 港 春 華 杯 普 通 話 朗 誦 及 拼 音 认 读 大 賽 朗 誦 誦 材 幼 稚 園 K1- 散 文 組 娃 娃 的 夢 花 兒 的 夢, 是 紅 的, 小 樹 的 夢, 是 綠 的, 露 珠 的 夢, 是 圓 的, 娃 娃 的 夢, 是 甜 的 幼 稚 園 K1- 兒 歌 組 小 白 兔 小 白 兔, 白 又 白, 兩 隻 耳 朵 豎 起 來, 愛 吃 蘿 蔔 和 青 菜,

More information

<4D6963726F736F667420576F7264202D20B6C0AE78B0EDAABAC0B8A740B8D65FA7EBA7BAA54EA4E5BEC7ACE3A873C24FA55AA15E2E646F63>

<4D6963726F736F667420576F7264202D20B6C0AE78B0EDAABAC0B8A740B8D65FA7EBA7BAA54EA4E5BEC7ACE3A873C24FA55AA15E2E646F63> 黃 庭 堅 遷 謫 時 期 的 戲 作 詩 鍾 美 玲 高 苑 科 技 大 學 通 識 教 育 中 心 摘 要 受 北 宋 新 舊 黨 爭 的 影 響, 黃 庭 堅 於 紹 聖 元 年 責 授 涪 州 別 駕 黔 州 安 置, 從 此 展 開 一 連 串 遷 謫 的 命 運, 最 後 卒 於 遷 謫 地 宜 州 考 察 其 遷 謫 時 期 的 詩 歌, 有 許 多 以 戲 字 為 題 的 作 品,

More information

Microsoft Word - F5.docx

Microsoft Word - F5.docx 2 目錄 5A 5A 5A 5A 高慧冰 譚雅樂 余雅瑩 周子慧 劇本... P.4-P.5 奔跑人生... P.6 唐老師... P.7 唐老師... P.8 5B 5B 5B 5B 5B 5B 徐子盈 呂惠雅 黃智昭 熊雪瑩 鍾詠晴 吳博倫 敬愛的人... P.9 偶像... P.10 冬天... P.11 春夏秋冬... P.12 唐老師... P.13 安南讓決策從此變得簡單... P.14

More information

第十号 上市公司关联交易公告

第十号 上市公司关联交易公告 证 券 代 码 :600696 证 券 简 称 : 匹 凸 匹 编 号 : 临 2016-113 匹 凸 匹 金 融 信 息 服 务 ( 上 海 ) 股 份 有 限 公 司 关 于 出 售 匹 凸 匹 金 融 信 息 服 务 ( 深 圳 ) 有 限 公 司 100% 股 权 暨 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 不 存 在 任 何 虚 假 记

More information

06-07周年報告template.PDF

06-07周年報告template.PDF 06 07 P.2 P.3 () P.4 P.5 () P.6 20062007 6 (55%) 1 (9%) 1 (9%) 1 (9%) 1 (9%) 1 (9%) (P.1,P.2 ) 5 6 6 0.5 0.5 0.5 / 0.5 P.7 P.8 0.5 0.5 2 1 6 5 2 1 6 5 (P.3P.6) 0.5 0.5 0.5 0.5 0.5 0.5 P.9 () 4 6 5 6 6

More information

<4D F736F F D20C8CBB8A3D2BDD2A9BCAFCDC5B9C9B7DDB9ABCBBECFEACABDC8A8D2E6B1E4B6AFB1A8B8E6CAE9A3A8CEE4BABAB5B1B4FABFC6BCBCB2FAD2B5BCA

<4D F736F F D20C8CBB8A3D2BDD2A9BCAFCDC5B9C9B7DDB9ABCBBECFEACABDC8A8D2E6B1E4B6AFB1A8B8E6CAE9A3A8CEE4BABAB5B1B4FABFC6BCBCB2FAD2B5BCA 600079 369 369 2015 4 7 15 16 15 16 A 2014 ... 2... 3... 9... 11... 14... 15... 16... 17... 18... 19... 23... 24 1 / / 24.49% / / 2 1 2 369 3 4 5420100000024936 617806826-4 7 8 9 420101178068264 10 369

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

第八章

第八章 CPLD 8.1 CPLD CPLD CPLD CPLD A/D D/A 0.1Hz-50MHz CPLD 1 16 BCD 2 MAX+PLUS 10.0 3 ACEX EP1K100QC208-3 4 CPLDEE-4 (1) 1000Hz 1000Hz 1MHz f=100mhz/ 8-1 1000Hz? K 8-1 212 FS 8-2 8-2 inclk 40MHz fin 0.1Hz 50MHz

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

院 伙 食 补 助 费 4,700 元, 交 通 费 2,000 元, 住 宿 费 1,000 元, 伤 残 赔 偿 金 100,000 元, 精 神 损 害 赔 偿 金 100,000 元 ( 待 司 法 鉴 定 后 重 新 确 认 ); 二 诉 讼 费 鉴 定 费 由 被 告 承 担 被 告 省

院 伙 食 补 助 费 4,700 元, 交 通 费 2,000 元, 住 宿 费 1,000 元, 伤 残 赔 偿 金 100,000 元, 精 神 损 害 赔 偿 金 100,000 元 ( 待 司 法 鉴 定 后 重 新 确 认 ); 二 诉 讼 费 鉴 定 费 由 被 告 承 担 被 告 省 民 ++ 事 ++ 判 ++ 决 ++ 书 黑 龙 江 省 哈 尔 滨 市 香 坊 区 人 民 法 院 民 事 判 决 书 (2014) 香 民 一 初 字 第 469 号 原 告 杨 丽 珍, 女,1960 年 9 月 8 日 出 生, 汉 族, 农 民, 住 黑 龙 江 省 木 兰 县 委 托 代 理 人 冯 振 国, 男,1951 年 10 月 1 日 出 生, 汉 族, 农 民 委 托 代

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

untitled

untitled 1 EDA_VHDL / 1-1 QuartusII 1-2. QuartusII 1-3. 0 1-4. 7 1-5. 8 1-6. 1-7. 32 / 1-8. QuartusII 8 1-9. QuartusII 1-10. QuartusII 1-11. 8 16 1-12. 1-13. VHDL A/D 1-14. 1-15. D/A A/D 1-16 1-17 1-18 1-19 1-20

More information

关于我校做好2013年专业技术职务评聘工作的通知

关于我校做好2013年专业技术职务评聘工作的通知 关 于 做 好 2014 年 专 业 技 术 职 务 评 聘 工 作 的 通 知 各 学 院, 各 有 关 单 位 : 根 据 省 教 育 厅 关 于 做 好 2014 年 全 省 高 校 教 师 专 业 技 术 职 务 评 聘 工 作 的 通 知 ( 苏 教 师 [2014]11 号 ) 文 件 精 神, 结 合 我 校 实 际, 现 就 我 校 2014 年 专 业 技 术 职 务 评 聘 工

More information

办函[2004]号

办函[2004]号 国 家 文 物 局 文 物 博 函 2016 28 号 关 于 做 好 博 物 信 息 公 开 有 关 工 作 的 通 知 各 省 自 治 区 直 辖 市 文 物 局 ( 文 化 厅 ): 为 做 好 博 物 行 业 信 息 公 开, 更 好 地 发 挥 博 物 服 务 社 会 的 功 能, 按 照 博 物 条 例 有 关 精 神 及 我 局 关 于 贯 彻 执 行 博 物 条 例 的 实 施 意

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Microsoft Word - AN3259C

Microsoft Word - AN3259C www.maxim-ic.com.cn 应用笔记 3259 DS31256 Envoy - 寄存器转储列程 概述本应用笔记提供了将 DS31256 的寄存器 排队程序 描述符和 FIFO RAM 的内容转储到一个文件的程序代码 这些数据在 DS31256 无法正常工作时非常关键, 为进一步的研究和调试提供了重要信息 例如, 寄存器数据经过转储后可以显示每个 DS31256 寄存器的设置 为了保证正确地设置器件,

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

〖BT1〗高考通关卷

〖BT1〗高考通关卷 高 考 通 关 卷 2016 年 新 课 标 全 国 高 考 语 文 模 拟 试 题 ( 一 ) 本 试 题 卷 分 第 Ⅰ 卷 ( 阅 读 题 ) 和 第 Ⅱ 卷 ( 表 达 题 ) 两 部 分 考 生 作 答 时, 将 答 案 答 在 答 题 卡 上 ( 答 题 注 意 事 项 见 答 题 卡 ), 在 本 试 题 卷 上 答 题 无 效 考 试 结 束 后, 将 本 试 题 卷 和 答 题 卡

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 单总线温度传感器驱动 王安然 STEP FPGA DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动 DS18B20Z 配置 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 : DS18B20Z 指令 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册

More information

<4D6963726F736F667420576F7264202D20413132BCD6ACA1B7C5AC755FB5B2AED7B3F8A769>

<4D6963726F736F667420576F7264202D20413132BCD6ACA1B7C5AC755FB5B2AED7B3F8A769> 元 培 醫 事 科 技 大 學 資 訊 管 理 系 畢 業 專 題 樂 活 溫 泉 組 別 :A12 指 導 老 師 : 廖 國 雄 老 師 組 員 : 孫 子 強 (1001408002) 黃 偉 宣 (1001408016) 陳 韋 達 (1001408008) 陳 志 原 (1001408014) 陳 威 霖 (1001408009) 中 華 民 國 一 零 三 年 十 二 月 摘 要 在 這

More information

B3B2B1B0 CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

T

T 通 訊 指 令 說 明 Pt : 1, 透 過 Port 1 以 Modbus RTU 通 訊 定 作 料 傳 輸 2, 透 過 Port 2 以 Modbus RTU 通 訊 定 作 料 傳 輸 SR : 通 訊 程 式 起 始 暫 存 器 ( 見 範 例 說 明 ) WR : 指 令 運 作 起 始 暫 存 器 ( 見 範 例 說 明 ), 共 佔 用 8 個 暫 存 器, 其 它 程 式 不

More information

國立竹北高級中學參加101-2學年度

國立竹北高級中學參加101-2學年度 個 人 申 請 入 學 第 二 階 段 調 查 表 甄 大 學 校 系 台 中 教 育 大 學 資 訊 工 程 系 班 級 301 座 號 36 性 別 女 自 傳 ( 字 數 : ) ( 共 需 : 5 鐘 x2 即 時 演 講 ( 為 ) 鐘 ) 文 ( 字 數 : ) ( ) ˇ 考 前 繳 交 當 天 繳 交 4 位 教 授 對 4 個 學 生 共 成 2 關 文 1. 進 去 第 一 間

More information

各学科组交材料的要求

各学科组交材料的要求 附 件 1 2016 年 职 称 工 作 指 南 一 职 称 申 报 用 表 分 类 1 教 师 实 验 系 列 : 申 报 ( 正 副 ) 高 级 专 业 技 术 职 务 人 员 填 写 江 苏 省 高 等 学 校 教 师 职 务 任 职 资 格 评 审 表 ( 南 林 2016 版 )( 以 下 简 称 评 审 表 一 式 两 份 ) 和 南 京 林 业 大 学 高 级 职 务 任 职 资 格

More information

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D> 2018 版 微机原理与接口技术 第六章 存储器设计 dminghao@xidian.edu.cn 董明皓 准备知识 存储器的性能指标 - 存储容量 ( 常用单位 ) 存储容量的表示 Bit 用二进制位定义存储容量 Byte 用二进制字节定义存储容量 存储容量的常用单位 字 节 B (Byte) 千字节 KB(Kilo Byte) 兆字节 MB(Mega Byte) 吉字节 GB (Giga Byte)

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

PC 机中的存储器

PC 机中的存储器 计算机组成原理 第四章存储器 -DRAM llxx@ustc.edu.cn wjluo@ustc.edu.cn PC 机中的存储器 本章内容 4.1 概述 4.2 主存储器 4.3 高速缓冲存储器 4.4 辅助存储器 寄存器缓存主存磁盘磁带 4.1 概述 1. 存储器分类 2. 存储器的层次结构 存储器在计算机系统中占有重要地位 1. 当前计算机正在执行的程序和数据均存放在存储器中, CPU 直接从存储器取指令或存取数据

More information

红 罐 王 老 吉 品 牌 定 位 战 略 来 源 : 成 美 营 销 官 网 品 牌 释 名 凉 茶 是 广 东 广 西 地 区 的 一 种 由 中 草 药 熬 制, 具 有 清 热 去 湿 等 功 效 的 药 茶 在 众 多 老 字 号 凉 茶 中, 又 以 王 老 吉 最 为 著 名 王 老 吉 凉 茶 发 明 于 清 道 光 年 间, 至 今 已 有 175 年, 被 公 认 为 凉 茶 始

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344>

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344> 1. 請 問 誰 提 出 積 體 電 路 (IC) 上 可 容 納 的 電 晶 體 數 目, 約 每 隔 24 個 月 (1975 年 更 改 為 18 個 月 ) 便 會 增 加 一 倍, 效 能 也 將 提 升 一 倍, 也 揭 示 了 資 訊 科 技 進 步 的 速 度? (A) 英 特 爾 (Intel) 公 司 創 始 人 戈 登. 摩 爾 (Gordon Moore) (B) 微 軟 (Microsoft)

More information

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc)

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc) : TBFAT-G5MP-MN004-11 1 GX Series PLC Program Manual 2 GX Series PLC Program Manual Contents Contents...3 1... 1-1 1.1... 1-2 1.2... 1-3 1.2.1... 1-3 1.2.2... 1-4 1.2.3... 1-4 1.2.4... 1-6 1.3... 1-7 1.3.1...

More information

, , %

, , % [] [] [] 280,000 8235 71 2009 341,000 2013569,000 13.7% 20092013 60 50 40 34.1 40.2 47.3 51.9 56.9 30 20 10 0 2009 2010 2011 2012 2013 2013 72 2009269,000 2013345,000 6.4%15,200 20092013 400 350 300 250

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用

1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用 EDA 技术与 VHDL 第 1 章 EDA 技术概述 1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用 1.1 EDA 技术 在 FPGA 上实现 DSP

More information

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc References (Section 5.2) Hsuan-Tien Lin Deptartment of CSIE, NTU OOP Class, March 15-16, 2010 H.-T. Lin (NTU CSIE) References OOP 03/15-16/2010 0 / 22 Fun Time (1) What happens in memory? 1 i n t i ; 2

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

ebook71-6

ebook71-6 6 X C a l d e r a X 6. 2. 1 C a l d e r a 6. 2. 2 C a l d e r a 6. 2. 3 C a l d e r a 6. 2. 4 C a l d e r a 6. 2. 5 C a l d e r a 6. 2. 6 C a l d e r a X 6. 2. 7 Red Hat X 6. 2. 8 Red Hat 6. 2. 9 Red Hat

More information

方法论篇--修改稿(更新).PDF

方法论篇--修改稿(更新).PDF 1.0 140 2000/03/17 2000/03/18 yyyy/mm/dd 2000/03/17 1.00 2001-8-28 2142 VHDL... 7 1... 7 2... 7 3... 7 4... 7 5... 7 5.1 VHDL... 7 5.1.1 Identifiers)... 8 5.1.2... 9 5.1.3... 9 5.1.4.... 10 5.1.5... 12

More information

第三节 软件测试的过程与策略

第三节 软件测试的过程与策略 ...1...4...9...17...25...29...34...40...46...55...65...73 1 2 3 4 5 6 7 8 9 10 11 1 12 13 1 ABCD 2 A B C D 3 ABCD 4 A1/2 B1/3 C1/4 D2/3 5 % A20 B30 C40 D50 6 A B C D 7 A B C D / 8 A B C D 9 A B C D 10

More information