第八章

Size: px
Start display at page:

Download "第八章"

Transcription

1 CPLD 8.1 CPLD CPLD CPLD CPLD A/D D/A 0.1Hz-50MHz CPLD 1 16 BCD 2 MAX+PLUS ACEX EP1K100QC CPLDEE-4 (1) 1000Hz 1000Hz 1MHz f=100mhz/ Hz? K

2 FS inclk 40MHz fin 0.1Hz 50MHz FS k fsurveya inclk 2 HDL subdesign fsurveya (inclk,fin: input; mf[25..0] : output; ) variable ma[26..0]:dff; fd:dff; st[1..0]:dff; mc[25..0],mf[25..0]:dff; begin ma[].clk=inclk;fd.clk=inclk; st[].clk=inclk;mc[].clk=fin;mf[].clk=inclk; if ma[]== then ma[]=0;fd=!fd; ma[]=ma[]+1; fd=fd; 213

3 case st[] is when 0=> mc[]=0;mf[]=mf[]; if fd then st[]=1; st[]=0; when 1=> MF[]=MF[]; if fd then st[]=1; mc[]=mc[]+1; st[]=2;mc[]=mc[]; when 2=> mf[]=mc[];mc[]=mc[]; st[]=0; end case; end; fsurvb 1MHz inclk 1MHz FS HDL subdesign fsurvb (inclk,fin: input; mf[25..0]: output; ) variable ma[5..0],mf[25..0],mc[25..0]:dff; mfd: dff; st[1..0]:dff; begin ma[].clk=inclk;mfd.clk=inclk;st[].clk=inclk; mf[].clk=inclk;mc[].clk=mfd; if ma[]==19 then ma[]=0;mfd=!mfd; 214

4 ma[]=ma[]+1;mfd=mfd; case st[] is when 0=> mf[]=mf[];mc[]=0; if fin then st[]=1; st[]=0; when 1=> mf[]=mf[]; if fin then mc[]=mc[]+1;st[]=1; st[]=2;mc[]=mc[]; when 2=> mf[]=mc[];mc[]=mc[]; st[]=0; end case; end ; div10mc f=100mhz/ HDL include "divide32.inc"; subdesign div10mc (inclk,mf[25..0]:input; mfo[25..0]: output; ) variable mdiv32:divide32; begin mdiv32.inclk=inclk;mdiv32.a[]= ;mdiv32.b[]=(0,mf[]); mfo[]=mdiv32.c[25..0]; end; div10mc 32 divide32,divide32 HDL 215

5 subdesign divide32 (a[31..0],b[31..0],inclk:input; c[31..0] : output; ) variable ma[32..0],mb[32..0],dc[32..0]:dff; mc[2..0],md[4..0],c[31..0]:dff; begin (dc[],ma[],mb[],mc[],md[]).clk=inclk;c[].clk=inclk; case mc[] is when 0=> mb[]=(0,b[]);ma[]=(0,a[]); mc[]=1;md[]=md[];c[]=c[]; when 1=> ma[]=ma[];c[]=c[]; if mb[]==0 then mc[]=0;md[]=md[]; md[]=md[]+1; if mb[31]==gnd then for i in 31 to 1 generate mb[i]=mb[i-1]; end generate; mc[]=1; mb[]=mb[];mc[]=2; when 2=> mb[]=mb[];md[]=md[];c[]=c[]; if ma[]>=mb[] then ma[]=ma[]-mb[]; mc[]=4;dc[]=dc[]+1; mc[]=3;dc[]=dc[];ma[]=ma[]; when 3=> mc[]=4;c[]=c[]; 216

6 for n in 32 to 1 generate ma[n]=ma[n-1];dc[n]=dc[n-1]; end generate; mb[]=mb[];md[]=md[]-1; when 4 => ma[]=ma[];dc[]=dc[];mb[]=mb[];c[]=c[]; if md[]==1 then mc[]=5;md[]=md[]; mc[]=2;md[]=md[]; when 5=> ma[]=ma[];c[]=c[]; if ma[31]==vcc then dc[]=dc[]+1; dc[]=dc[]; mc[]=6; when 6=> dc[]=dc[];mc[]=0;c[]=dc[31..0]; end case; end; sel K K HDL subdesign sel (mf[25..0],mfo[25..0],k:input; mfout[25..0]:output; ) begin if k then mfout[]=mf[]; mfout[]=mfo[]; end ; 16tobcd BCD HDL 217

7 subdesign 16tobcd (mf[25..0],inclk : input; mout[31..0] :output; ) variable ma[25..0],mout[31..0]:dff; st[1..0]:dff; moutx[31..0]:dff; begin ma[].clk=inclk;st[].clk=inclk; moutx[31..0].clk=inclk;mout[].clk=inclk; case st[] is when 0=> ma[]=mf[]; st[]=1; mout[]=mout[]; when 1=> mout[]=mout[]; if ma[]>99 then st[]=1;ma[]=ma[]-100; if moutx[11..8]==9 then moutx[11..8]=0; if moutx[15..12]==9 then moutx[15..12]=0; if moutx[19..16]==9 then moutx[19..16]=0; if moutx[23..20]==9 then moutx[23..20]=0; if moutx[27..24]==9 then moutx[27..24]=0;moutx[31..28]=moutx[31..28]+1; moutx[27..24]=moutx[27..24]+1;moutx[31..28]=moutx[31..28]; moutx[23..20]=moutx[23..20]+1;moutx[31..24]=moutx[31..24]; moutx[19..16]=moutx[19..16]+1;moutx[31..20]=moutx[31..20]; 218

8 moutx[15..12]=moutx[15..12]+1;moutx[31..16]=moutx[31..16]; moutx[11..8]=moutx[11..8]+1;moutx[31..12]=moutx[31..12]; moutx[31..8]=moutx[31..8]; if ma[]>9 then st[]=1;ma[]=ma[]-10; moutx[7..4]=moutx[7..4]+1; moutx[7..4]=moutx[7..4];moutx[3..0]=ma[3..0]; st[]=2; when 2=> mout[]=moutx[];moutx[]=moutx[];st[]=0; end case; end ; decode7s 7 8 BCD mout[31..0] 7SA[6..0] 7SB[7..0] sela[3..0] selb[3..0] 7sc[6..0] 7sd[6..0] HZ HDL subdesign decode7s (mout[31..0],inclk,k:input; 7sa[6..0],7sb[7..0],sela[3..0],selb[3..0]:output; 7sc[6..0],7sd[6..0]:output; ) variable ma[9..0],f,sta[1..0],stb[1..0],mda[3..0],mdb[3..0]:dff; begin 7sc[]=h"37";7sd[]=h"6d";mda[].clk=inclk;mdb[].clk=inclk; ma[].clk=inclk;sta[].clk=f;stb[].clk=f;f.clk=inclk; if ma[]==1000 then ma[]=0;f=!f; ma[]=ma[]+1; f=f; 219

9 sta[]=sta[]+1; stb[]=stb[]+1; case sta[] is when 0 => mda[]=mout[31..28]; if mout[31..28]==0 then sela[]=0; sela[]=8; when 1=> mda[]=mout[27..24]; if mout[31..24]==0 then sela[]=0; sela[]=4; when 2=> mda[]=mout[23..20]; if mout[31..20]==0 then sela[]=0; sela[]=2; when 3=> mda[]=mout[19..16]; if mout[31..16]==0 then sela[]=0; sela[]=1; end case; case stb[] is when 0 => mdb[]=mout[15..12]; if mout[31..12]==0 then selb[]=0; selb[]=8; 220

10 when 1=> mdb[]=mout[11..8]; if k then 7sb7=gnd; 7sb7=vcc; if mout[31..8]==0 then selb[]=0; selb[]=4; when 2=> mdb[]=mout[7..4]; selb[]=2; when 3=> mdb[]=mout[3..0]; selb[]=1; end case; table mda[]=> 7sa[]; 0=>h"3f"; 1=>h"06"; 2=>h"5b"; 3=>h"4f"; 4=>h"66"; 5=>h"6d"; 6=>h"7d"; 7=>h"07"; 8=>h"7f"; 9=>h"6f"; end table; table mdb[]=> 7sb[]; 0=>h"3f"; 1=>h"06"; 2=>h"5b"; 3=>h"4f"; 4=>h"66"; 221

11 5=>h"6d"; 6=>h"7d"; 7=>h"07"; 8=>h"7f"; 9=>h"6f"; end table; end ; CPLDEE CPLD CPLD 4X4 inclk 22MHz 5ms keyclkout keyclkout 50ms chuclkout, ms P120 P121 P122 P125 KR1 100 KR2 100 KR3 100 KR4 100 vcc 5 RKA 4.7K K1 2 1 K5 2 1 K9 2 1 K K2 2 1 K6 2 1 K K K3 2 1 K7 2 1 K K K4 2 1 K8 2 1 K K KR5 100 KR6 100 KR7 100 P12 P12 P12 KR8 P

12 8-4 D RS D tinglmove VHDL VHDL LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; ENTITY key2 IS PORT (inclk :IN std_logic; -- inkey : IN std_logic_vector(0 to 3); -- outkey : OUT std_logic_vector( 0 to 3); -- outled : OUT std_logic_vector(7 downto 0) --LED ); END key2; ARCHITECTURE art OF key2 IS COMPONENT tinglmove -- PORT (a,clk: IN std_logic ; b : OUT std_logic) ; END COMPONENT; SIGNAL keyclk :std_logic_vector(16 downto 0) ; 223

13 SIGNAL chuclk :std_logic_vector (2 downto 0) ; SIGNAL keyclkout,chuclkout :std_logic ; -- SIGNAL chuout :std_logic_vector(0 to 3) ; -- SIGNAL inkeymap :std_logic_vector(0 to 3) ;-- SIGNAL keyout :std_logic_vector(0 to 7) ;-- BEGIN roll: FOR i IN 0 TO 3 GENERATE -- movskipx: tinglmove PORT MAP (inkey(i),keyclkout, inkeymap(i)); END GENERATE; clk_key:process(inclk) BEGIN if(inclk'event and inclk='1') then if keyclk=54999 then keyclk<=" "; keyclkout<=not keyclkout; keyclk<=keyclk+1; END PROCESS clk_key; clk_chu:process(keyclkout) BEGIN IF (keyclkout'event AND keyclkout = '1' ) THEN IF chuclk=4 THEN chuclk<= "000"; chuclkout<=not chuclkout; chuclk<=chuclk+1; END PROCESS clk_chu; clk_chu_out:process(chuclkout) BEGIN IF (chuclkout'event AND chuclkout='1') THEN IF chuout="1110" THEN IF inkeymap/="1111" THEN keyout<=chuout&inkeymap ; 224

14 chuout<="1101"; ELSIF chuout="1101" THEN IF inkeymap/="1111" THEN keyout<=chuout&inkeymap ; chuout<="1011"; ELSIF chuout="1011" THEN IF inkeymap/="1111" THEN keyout<=chuout&inkeymap ; chuout<="0111" ; ELSIF chuout="0111" THEN IF inkeymap/="1111" THEN keyout<=chuout&inkeymap ; chuout<="1110"; chuout<="1110"; END PROCESS clk_chu_out; outkey<=chuout; out_led:process(keyout) BEGIN case keyout(0 to 3) is when "0111" => case keyout(4 to 7) is when "0111"=> outled<=x"7e"; when "1011"=> outled<=x"33"; when "1101"=> outled<=x"7f"; when "1110"=> outled<=x"4e"; when others=> outled<=x"00"; end case; when "1011" => case keyout(4 to 7) is when "0111"=> outled<=x"30"; when "1011"=> outled<=x"5b"; when "1101"=> outled<=x"7b"; when "1110"=> outled<=x"3d"; 225

15 when others=> outled<=x"00"; end case; when "1101" => case keyout(4 to 7) is when "0111"=> outled<=x"6d"; when "1011"=> outled<=x"5f"; when "1101"=> outled<=x"77"; when "1110"=> outled<=x"4f"; when others=> outled<=x"00"; end case; when "1110" => case keyout(4 to 7) is when "0111"=> outled<=x"79"; when "1011"=> outled<=x"70"; when "1101"=> outled<=x"1f"; when "1110"=> outled<=x"47"; when others=> outled<=x"00"; end case; when others => outled<=x"00"; end case; END PROCESS out_led; end art; 10M---40M keyclkout 5ms 8.3 CPLD CPLD IPCORE CPLD CPLD 1 FPGA FPGA FPGA 2 PCB CPLD/FPGA EDA 3 CPLD CPLD CPLD 226

16 CPLD CPLD MCS51 CPLD CPLD RAM A/D D/A 8-5 adcdac ADC0809 / DAC0832 / key4x4 4X4 8-3 switch 16 ram6232 CPLD EAB 4kram adrdcode P2 p2.4 p2.5 p2.6 p2.7 64k 0-0FFFH ym0 RAM 1000H ym1 2000H ym2 3000H 4000H ym3,ym H 6000H adccs daccs ADC0809 DAC H 8000H switch1 switch2 227

17 LED 9000H 0A000H stdis1 stdis2 8-6 CPLD 1 adcdac ADC0809 DCA0832 P rd wr adccs daccs eoc ale pa0 pa1 pa2 p0.0 p0.1 p0.2 ale ADC0809 ADC0809 adcclk ale adcs dacs ADC0809 DAC

18 8-7 ADC0809 DAC adrdcode adrdcode P2 p2.4 p2.5 p2.6 p I/O PC

19 8-8 3 switch switch LED CPLD 8.9 VCC R2 4.7K CPLDPIN k1 led2 R1 470 led1 VCC R3 4.7K 8-9 LED CPLD 8-10 switch P rd wr 230

20 switch1 switch switch 4 ram6232 CPLD EAB 4K RAM ram6232 HDL INCLUDE "YY.INC"; SUBDESIGN RAM6232 (ale,rd,wr,pc[3..0],cs:input; 231

21 pa7,pa6,pa5,pa4,pa3,pa2,pa1,pa0 :bidir; ) variable myy: yy; md[7..0]:latch; begin md[].ena=ale; md[]=pa[7..0]; myy.ad[7..0]=md[]; myy.ad[11..8]=pc[3..0]; myy.rd=rd; myy.we=wr; myy.cs=cs; pa[7..0]=myy.dio[]; end; 4K RAM YY ALE md[7..0] 4KRAM CPLD EAB 4K RAM 5 scan7seg 10 P0 stdis1 stdis2 wr ym3 ym4 scana[7..0],scanb[7..0] sel[8..1]

22 key4x4 P P P0 ym1 wr ym2 rd 8-13 CPLD CPLD VHDL AHDL AHDL 233

23 acom 1 PC RS232 CPLD 2 CPLD 3 CPLD MAX487 acom PC PC P1.0 P P1.1 P PC PC P P

24 CPLD HDL CPLD CPLD CPLD CPLD PC UNIVERSALRXD VHDL 9 CHEN 0 1 FS[3..0] OUTEN OUTDATA[7..0] INCLK MHz, OUTCLK

25 WAVEVBIO ID ID[5..0] UNIVERSALRXD CHEN 1 WAVEVBIO ID iden 1 INDATA[7..0] INDATA[7..6] 01 ID WAVEVB-BPS ID INEN CHEN INDATA[7..0] fs[3..0] Csen D/A LPM-RAM-DP RAM DATA[] wraddress[],wren WAVEVB-22 LPM-RAM-DP rdaddress[] q[] D/A rdaddress[] WAVEVB-3 infs[11..0] rdaddress[] WAVE-F insf[11..0]

26 8-16 UNIVERSALRXD VHDL LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY universalrxd IS PORT (inclk,rxd,en :IN STD_LOGIC; fs :IN STD_LOGIC_VECTOR(3 DOWNTO 0); outen,outclk,chen :OUT STD_LOGIC; outdata :OUT STD_LOGIC_VECTOR(7 DOWNTO 0) --outpe :OUT STD_LOGIC ); END universalrxd; ARCHITECTURE art OF universalrxd IS SIGNAL clk_1 :STD_LOGIC ; SIGNAL b :STD_LOGIC_VECTOR(7 DOWNTO 0) ; SIGNAL d :STD_LOGIC_VECTOR(3 DOWNTO 0) ; SIGNAL K,ben,start :STD_LOGIC; SIGNAL js0,js,js1 :STD_LOGIC_VECTOR(6 DOWNTO 0) ; SIGNAL c :STD_LOGIC_VECTOR(5 DOWNTO 0) ; SIGNAL m :STD_LOGIC_VECTOR(8 DOWNTO 0) ; BEGIN 237

27 outen<=k; outclk<=clk_1; --outdata<=outdata_1; P0:PROCESS(inclk) BEGIN IF(inclk'EVENT AND inclk='1') THEN CASE fs IS WHEN "0000"=> clk_1<=not clk_1; --m<=" "; WHEN "0001"=> IF m>=383 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "0010"=> IF m>=191 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "0011"=> IF m>=95 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "0100"=> IF m>=47 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "0101"=> IF m>=11 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; BPS BPS BPS BPS BPS BPS 238

28 WHEN "0110"=> BPS IF m>=7 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "0111"=> BPS IF m>=5 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "1000"=> BPS IF m>=3 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "1001"=> BPS IF m>=2 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN "1010"=> BPS IF m>=1 THEN m<=" " ;clk_1<=not clk_1; m<=m+1; END IF ; WHEN OTHERS=> clk_1<=not clk_1; --m<=" "; END CASE; END PROCESS P0; P1:PROCESS(clk_1,en) BEGIN 239

29 IF (clk_1'event AND clk_1='1') THEN IF en='1' THEN IF ben='0' THEN K<='0'; IF rxd='0' THEN IF start='0' THEN IF c=25 THEN C<="000000"; start<='1'; c<=c+1; C<="000000"; IF start='1' THEN IF js=69 THEN js<=" "; IF js0>45 THEN ben<='1';js0<=" "; js0<=" "; js<=js+1; IF rxd='0' THEN js0<=js0+1; start<='0';c<="000000"; IF js=95 THEN IF d=0 THEN IF js0>js1 THEN b(0)<='0'; d<=d+1; k<='1'; 240

30 b(0)<='1'; d<=d+1; k<='1'; ELSIF d=1 THEN IF js0>js1 THEN b(1)<='0'; d<=d+1; b(1)<='1'; d<=d+1; ELSIF d=2 THEN IF js0>js1 THEN b(2)<='0'; d<=d+1; b(2)<='1'; d<=d+1; ELSIF d=3 THEN IF js0>js1 THEN b(3)<='0'; d<=d+1; b(3)<='1'; d<=d+1; ELSIF d=4 THEN IF js0>js1 THEN b(4)<='0'; d<=d+1; b(4)<='1'; d<=d+1; ELSIF d=5 THEN IF js0>js1 THEN 241

31 b(5)<='0'; d<=d+1; b(5)<='1'; d<=d+1; ELSIF d=6 THEN IF js0>js1 THEN b(6)<='0'; d<=d+1; b(6)<='1'; d<=d+1; ELSIF d=7 THEN IF js0>js1 THEN b(7)<='0'; d<=d+1; b(7)<='1'; d<=d+1 ; IF js0>js1 THEN chen<='0';d<=d+1; chen<='1'; d<=d+1 ; --outdata_1<=b; END IF ; IF d=9 THEN outdata<=b; d<=d+1; ELSIF d=10 THEN 242

32 d<="0000"; ben<='0'; js<=js+1; IF ( js=46 or js=47 or js=48 ) THEN IF rxd='0' THEN js0<=js0+1; js1<=js1+1; END IF ; END PROCESS P1; END art; 243

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

高二立體幾何

高二立體幾何 008 / 009 學 年 教 學 設 計 獎 勵 計 劃 高 二 立 體 幾 何 參 選 編 號 :C00 學 科 名 稱 : 適 用 程 度 : 高 二 簡 介 一 本 教 學 設 計 的 目 的 高 中 立 體 幾 何 的 學 習 是 學 生 較 難 理 解 而 又 非 常 重 要 的 一 個 部 分, 也 是 高 中 教 學 中 較 難 講 授 的 一 個 部 分. 像 國 內 的 聯 校

More information

2 伊 顿 重 型 静 液 传 动 装 置 目 录 E-TRHD-MC001-C 2011 年 7 月

2 伊 顿 重 型 静 液 传 动 装 置 目 录 E-TRHD-MC001-C 2011 年 7 月 重 载 荷 静 液 传 动 装 置 系 列 1 变 量 柱 塞 泵 (ACA) 和 马 达 (ACE) 定 量 马 达 (HHD) 峰 值 压 力 480 bar (7000 psi) 排 量 64-125 cm 3 /r(3.9-7.6 in 3 /r) 2 伊 顿 重 型 静 液 传 动 装 置 目 录 E-TRHD-MC001-C 2011 年 7 月 目 录 重 载 荷 静 液 传 动 ACA:

More information

i

i 可 编 程 控 制 器 FP0 模 拟 I/0 单 元 用 户 手 册 适 用 机 种 : FP0-A21(AFP0480) FP0 模 拟 I/0 单 元 用 户 手 册 ARCT1F390C '07 年 4 月 http://www.mew.co.jp/ac/c 安 全 注 意 事 项 为 防 止 受 伤 事 故, 请 务 必 遵 守 以 下 事 项 在 安 装 运 行 维 护 保 养 以 及

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量 五 上 自 然 與 生 活 科 技 科 第 四 單 元 水 溶 液 一 選 擇 題 01.( 0 下 列 哪 一 種 方 法 可 以 辨 識 出 水 溶 液 的 酸 鹼 性? 1 用 眼 睛 仔 細 觀 察 2 用 電 池 電 線 和 小 燈 泡 來 測 試 3 用 食 鹽 水 來 辨 識 4 用 紫 羅 蘭 花 的 汁 液 來 測 試 02.( 0 下 列 哪 一 種 水 溶 液 不 是 中 性

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

Microsoft Word - SMB-63-2(簡体字).doc

Microsoft Word - SMB-63-2(簡体字).doc 补 充 说 明 书 ABSODEX AX9000TS/TH-U3 (PROFIBUS-DP 规 格 ) SMB-63C-2 前 言 承 蒙 购 置 本 公 司 的 ABSODEX, 至 为 感 谢 ABSODEX 是 为 了 精 准 灵 活 地 驱 动 常 规 产 业 用 的 组 装 设 备 检 测 设 备 的 间 歇 作 动 回 转 工 作 台 等 而 研 发 的 直 接 驱 动 的 分 度 装

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

才俊學校課程設計 _總目_.PDF

才俊學校課程設計 _總目_.PDF ( 2002.1.4) 1 2 3 / [ ] 4 0-2 2-7 7-11 11-15 1) 2)3) 4) / / / 6-7 7-8 8-9 9-10 10-11 11-12 12-13 13-14 14-15 15-16 3 3.5 4 4.5 5 5.5 6 6.5 7 7.5 20 ] 50-53,133-166 5 1. ( ) 2. ( ) 3. ( ) 4. ( / / / / )

More information

untitled

untitled 論 FPGA FPGA External Step Motor Control Module 立 老 林 年 立 老 論 VHDL VHDL 了 論 老 了 度 老 立 老 不 老 都 度 行 流 VHDL 年 來 論 了 不 識 念 VHDL IEEE IEEE 1076 1993 年 度 VHDL 不 FPGACPLD 了 路 利 廉 FPGA 立 利 VHDL ( MAXPLUS) 路 (

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

杭州士兰微电子股份有限公司招股说明书.PDF

杭州士兰微电子股份有限公司招股说明书.PDF 1997 9 25 7 350 CMOSBiCMOS 1999 12 [1999]408 2001 11 [2001]1000 2002 3 [2002]004 2002 7 [2002]77 7 1 A 2600 25.74% 11.60 20 2002 2.20 4.50 ????? 10KV 1997 9 25 7 350 17% 7.5% 25393397-6

More information

pdf

pdf SMART INVERTER, SMART CHOICE www.siemens.com.cn/v20 0.12 kw ~ 15 kw USS MODBUS RTU 7.5 kw ~ 15 kw PCB V/fV 2 /f 0.12 kw ~ 15 kw 1AC 200 V... 240 V ( -10 % / +10 % ) 3AC 380 V... 480 V ( -15 % / +10 % )

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

" "##$ """ $ $%%& %& ()(*(+,& " - - # -./ % # - - $( +?5 - %( +?5 ;&77&12 0 # -01,( 2+0 1% ( ) &) 3 $, -01,( 2+0 "##$ %4# %

 ##$  $ $%%& %& ()(*(+,&  - - # -./ % # - - $( +?5 - %( +?5 ;&77&12 0 # -01,( 2+0 1% ( ) &) 3 $, -01,( 2+0 ##$ %4#  % ""# $ "# ""# "#$%&% ()*$+, (- "*(.+/(0*+1"2 $%& $ (% % %% & %% "#$ - - $(" % 7 7 7 7+89"0%:+ ; < 8B (". $ 7?7)A@C,

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

信息科学与工程学院立项项目简介

信息科学与工程学院立项项目简介 信 息 科 学 与 工 程 学 院 立 项 项 目 简 介 项 目 名 称 : 基 于 FPGA 的 CPU 核 及 其 虚 拟 平 台 的 设 计 与 实 现 项 目 编 号 :08042002 立 项 人 : 赵 宇 ( 学 生 ) 联 系 电 话 :15950467566 电 子 信 箱 :nic_zy@qq.com 科 学 技 术 的 高 度 发 展, 导 致 了 计 算 机 的 诞 生 及

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

MHE/4, : ( ) MSF. BSI 2

MHE/4, : ( ) MSF. BSI 2 BS 5900:1999 ICS91.140.90 1 MHE/4, : ( ) MSF. BSI 2 ii 1 1 2 1 3 1 4 3 5 4 6 4 7 7 8 9 9 9 10 9 11 10 12 12 13 12 14 12 15 15 16 18 17, 18 18 18 A( ) 19 B( ) 20 C ( ) 20 D ( ) 21 E ( ) 22 F( ) 27 G( )

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

<4D6963726F736F667420576F7264202D20323031352D342D3231D2C7B1EDCCD6C2DBB8E5B1E0D6C6CBB5C3F72E646F63>

<4D6963726F736F667420576F7264202D20323031352D342D3231D2C7B1EDCCD6C2DBB8E5B1E0D6C6CBB5C3F72E646F63> 电 动 自 行 车 用 仪 表 标 准 编 制 说 明 ( 征 求 意 见 稿 ) 一 任 务 来 源 和 制 定 过 程 本 标 准 制 订 项 目 由 工 业 和 信 息 化 部 下 达 项 目 编 号 2013-0061T-QB, 项 目 名 称 电 动 自 行 车 用 仪 表 电 动 自 行 车 是 节 能 环 保 的 绿 色 交 通 工 具, 是 适 合 国 情 的 个 人 短 途 交 通

More information

Microsoft Word - STEPS Standard Chinese Instrument.doc

Microsoft Word - STEPS Standard Chinese Instrument.doc WHO/NMH/CHP/SPP/05.01 发 行 : 限 量 慢 性 病 危 险 因 素 阶 梯 式 监 测 (STEPS) 问 卷 及 调 查 指 南 ( 核 心 内 容 和 扩 展 内 容 ) 世 界 卫 生 组 织 慢 性 非 传 染 性 疾 病 阶 梯 式 监 测 (STEPS) 非 传 染 性 疾 病 和 精 神 卫 生 处 世 界 卫 生 组 织 20 Avenue Appia, 1211

More information

F&B 100%

F&B 100% F&B 100% V3.1 XMRY5000/8000... 1...1...3...4.....23...25 XMRY5000/8000 27 XMRY5000/8000 2.1 2.1.1 2.1.2 / 2.1.3 Pt100 Pt100.0 Pt10 Cu100 Cu50 K E S B J R T N 010mA 420mA 05V 15V 30350 060mV 060mV 05V 2.1.4

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

untitled

untitled 0.37kW 250kW D11.7 2009 SINAMICS G120 0.37kW 250kW SINAMICS G120 Answers for industry. SINAMICS G120 0.37kW 250kW SINAMICS G110 D 11.1 0.12 kw 3 kw CA01 MC CA01 MC CD : E20001-K20-C-V2-5D00 141-P90534-09020

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

VF---10

VF---10 VF---10 1 2 3 MCCB MC 1K2W 0~10V 0~5V 4~20mA R S T FWD REV X1 X2 X3 X4 X5 X6 COM 10V VS1 VS2 IS AGND U V W E COM PG A+ PG A- PG B+ 24V PG B- A B C Y1 Y2 Y3 COM M 485+ 485- A01 A02 AGND AM FM 6 MCCB

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则... 4 2. 整 体 优 化 的 原 则... 4 3. 因 材 施 教 的 原 则... 5 4. 注 重 实 用 的 原 则... 5 三 专 业 与 专 业 化 方 向... 6 四 招 生

目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则... 4 2. 整 体 优 化 的 原 则... 4 3. 因 材 施 教 的 原 则... 5 4. 注 重 实 用 的 原 则... 5 三 专 业 与 专 业 化 方 向... 6 四 招 生 国 家 中 等 职 业 教 育 改 革 发 展 示 范 学 校 建 设 材 料 综 合 素 质 + 技 能 特 长 人 才 培 养 方 案 重 点 专 业 名 称 : 电 子 技 术 应 用 专 业 负 责 人 : 胡 士 坤 福 建 省 长 汀 职 业 中 专 学 校 二 0 一 五 年 四 月 目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则...

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

未完成的追踪(提纲)

未完成的追踪(提纲) 87 51 1993 11.19 CHICCO 1989 1993 11 19 400 87 51 200 CHICOO 1 1993 95 1998 1999 6 97 20 5 6 14 6 8 11 18 / 45 27 5 2 2000 5 / 12 / 30 5 8 7 8 22 / 27 10 6 40 27 ( ) 1999 7 ( ) 4 X 92 95 -- 64.7% 3 25

More information

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) ( . (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E).. (E) (A) (B) (C) (D). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (C) (D) (E) (A) (B) (C) (D) (E)

More information

ePapyrus PDF Document

ePapyrus PDF Document () ()., (),, () BGS(Background Suppression).. &... ( )... 286 (. ( ).) 2 + NPN -ST11 2M -ST12 2M -ST21 2M -ST22 2M -FT11 2M -FT12 2M -FT21 2M -FT22 2M PNP -ST13 2M -ST14 2M -ST23 2M -ST24 2M -FT13 2M -FT14

More information

灵星LED视频屏控制板

灵星LED视频屏控制板 目 录 第 一 章 概 述...1 1.1 功 能 特 点... 1 1.2 发 行 包 清 单... 2 第 二 章 系 统 安 装 与 设 置...3 2.1 主 要 技 术 参 数... 3 2.2 端 口 定 义... 4 2.2.1 数 据 采 集 卡 数 据 输 入 口 (DVI 接 口 ) 4 2.2.2 附 加 功 能 口... 5 2.2.3 外 接 电 源 口... 5 2.2.4

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

<AE61A145A4E2B750A145A470B3C1ADBB2DB8D5BE5C2E706466>

<AE61A145A4E2B750A145A470B3C1ADBB2DB8D5BE5C2E706466> table roll 12 12 240g 60g 30g 4.5g 6g 3g 30g 165cc 30g 30~4030~40 5 18 ON 34 1 300g0g 30g 5.4g 4g 20cc cream cheese 120cc 60cc 50g 30g ~ ~ ~ 35 2 1 40g 200g 30g 2 50g 25g 1 36 2 8 37 44 1 210g 60g 210cc

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

RAM的设计

RAM的设计 存储器的设计 寻址存储器 (RAM 和 ROM) ROM 和 RAM 属于通用大规模器件, 一般不需要自行设计, 特别是采用 PLD 器件进行设计时 ; 但是在数字系统中, 有时也需要设计一些小型的存储器件, 用于特定的用途 : 临时存放数据, 构成查表运算等 此类器件的特点为地址与存储内容直接对应, 设计时将输入地址作为给出输出内容的条件 ; RAM 随机存储器 RAM 的用途是存储数据, 其指标为存储容量和字长

More information

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * /

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 2005 http://www.hioki.cn HIOKI,, IEC JIS HIOKI 3173 3158 3159 3153 3154 : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 3156 3157 3931 3930 9267 PC ( JIS/IEC/UL

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

Cover(1_4)

Cover(1_4) TYPE SWITCHING POWER SUPPLIES 1W15W3W6WW12W24WDIN AC1-24V AC AC SS 22.5mm1W/15W36mm3W/6W46mmW 5mm12Wmm24W DIN CE EMC ULUL58UL164UL131 Class 2 c-ulcsa C22.2 No.14213223 TÜVEN695-1EN5178 B/SC/SD EN6124-3

More information

Trio Motion Coordinator MC202 mm 94 *56 *107DIN 200g 0~45 / RS232C 9600 CAN 32-bit 1~3 Trio BASIC VB VC C/C++ 3 32-bit 1ms 128k 18~29V 150mA 24V 150mA

Trio Motion Coordinator MC202 mm 94 *56 *107DIN 200g 0~45 / RS232C 9600 CAN 32-bit 1~3 Trio BASIC VB VC C/C++ 3 32-bit 1ms 128k 18~29V 150mA 24V 150mA Trio Motion Coordinator TRIO 1 Trio Motion Coordinator MC202 mm 94 *56 *107DIN 200g 0~45 / RS232C 9600 CAN 32-bit 1~3 Trio BASIC VB VC C/C++ 3 32-bit 1ms 128k 18~29V 150mA 24V 150mA 12-bit 10V 0 2 Trio

More information

26 D00 27 D02 28 D03 29 D05 30 D06 31 D10 32 D12 33 D13 34 D14 35 D16 36 D17 37 D18, 38 D19 39 D20 40 D21 41 D22 42 D23 43 D24 44 D25 45 D26 46 D27 47

26 D00 27 D02 28 D03 29 D05 30 D06 31 D10 32 D12 33 D13 34 D14 35 D16 36 D17 37 D18, 38 D19 39 D20 40 D21 41 D22 42 D23 43 D24 44 D25 45 D26 46 D27 47 2 (600 ) 1 A03 2 A18 3 A23 4 A38 5 A39 6 A41 7 A59 [ ] 8 B15 9 B16 10 B17 11 B18 12 B19 13 B30 14 B37 15 C47 16 C50 17 C53 18 C54 19 C56 20 C60 21 C62 22 C64 ( ) 23 C65 24 C66 25 C71 16 26 D00 27 D02 28

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL

EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS 8.08 25.0 ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-con1000 / αlpha-con 1000 / Eutech Eutech Eutech

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配 磁 性 绝 对 值 编 码 器 GEL 2035 带 有 SSI 或 CANopen 接 口 LENORD +BAUER... automates motion. 技 术 信 息 版 本 09.13 概 述 紧 凑 设 计 的 磁 性 绝 对 值 触 感 器, 最 大 总 分 辨 率 为 24 位 带 一 个 高 容 量 锂 离 子 备 用 蓄 电 池 的 无 磨 损 电 子 变 速 箱 磁 阻 扫

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2 EUTECH INSTRUMENTS αlpha-ph800 ph/orp / 68X216813 03/99 0 αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH 1999 1.0 Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/24,

More information

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总 目 录 数 学 高 分 的 展 望... 1 第 一 篇 大 纲 解 析 篇... 1 一 管 理 类 联 考 分 析... 1 二 最 新 大 纲 解 析... 1 三 考 前 复 习 资 料 及 方 法... 第 二 篇 总 结 篇... 4 1 应 用 题 考 点 总 结 与 技 巧 归 纳... 4 代 数 模 块 题 型 归 纳 及 考 点 总 结... 9 3 数 列 模 块 题 型 归

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

Ctpu

Ctpu 二 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 2010 年 8 月 1 日 至 2011 年 7 月 31 日 期 间 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 如 下 : * 奥 地 利 海 因 茨 菲 舍 尔 先 生 ( 奥 地 利 联 邦 总 统 ) 米 夏 埃 尔 施 平 德 埃 格

More information

1 (Hz DC1mHz~100kHz Hz~5MHz % 5ms FAST/NORMAL/SLOW/SLOW2 5ms( Z ( 14 Z Y Rp(DCR* Rs(ESR,DCR* G X B Lp Ls Cp Cs D(tan Q *DCR3

1 (Hz DC1mHz~100kHz Hz~5MHz % 5ms FAST/NORMAL/SLOW/SLOW2 5ms( Z ( 14 Z Y Rp(DCR* Rs(ESR,DCR* G X B Lp Ls Cp Cs D(tan Q *DCR3 3522-50/3532-50/3535 LCR http//www.hioki.cn HIOKI,, 3522/3532 LCR 5ms( 4 3522-50 DC1mHz~100kHz 3532-50 42Hz~5MHz 3535100kHz~120MHz 6ms BIN( 3535 0.08% DC 1mHz~100kHz(3522-50/42Hz~5MHz(3532-50 3535 Z0.5%

More information

标题

标题 共 青 团 中 央 文 件 中 青 发 也 2010 页 8 号 茵 绎 关 于 表 彰 2009 年 度 全 国 优 秀 共 青 团 员 冶 全 国 优 秀 共 青 团 干 部 冶 全 国 五 四 红 旗 团 委 ( 团 支 部 ) 冶 的 决 定 (2010 年 4 月 28 日 ) 2009 年 以 来, 全 国 各 级 团 组 织 按 照 力 争 使 团 的 基 层 组 织 网 络 覆 盖

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information