8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F

Size: px
Start display at page:

Download "8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F"

Transcription

1 8 电梯控制器的设计 本节采用 VHDL 语言设计一个电梯控制器, 具备民用电梯的基本功能 8.1 设计要求 设计一个单轿厢电梯控制器, 该电梯可以控制电梯完成 10 个以下楼层的载客服务, 并具有以下功能 : ⑴. 每层电梯入口均设有电梯上下运行请求按钮, 轿厢内设有楼层选择开关 ⑵. 电梯具备提前关门和延时关门功能, 可根据乘客的请求进行时间调整 ⑶. 能够显示电梯的运行情况 楼层间的运行时间以及电梯所在楼层的等待时间 ⑷. 设置电梯运行开关控制按键, 以控制电梯的运行状态 ⑸. 电梯运行遵循方向优先原则 : 当电梯处于上升模式时, 只响应比电梯所在楼层更高位置的上楼请求信号, 并由下而上依次执行, 直到最后一个上楼请求执行完毕 ; 如更高层有下楼请求则直接上升到有下楼请求的最高层, 然后进入下降模式 当电梯处于下降模式时, 运行规则与上升模式刚好相反 8.2 设计方案 根据系统设计要求, 可以看出电梯主要有三种工作状态 : 运行 停止和等待, 其中运行状态又 包括上升和下降两个不同运行方向 乘客可以通过选择开门 / 关门 上行 / 下行 / 按钮和选择指定楼层 按钮等控制电梯的开 / 关门 上 / 下行以及停止等工作状态 DTKZ CLK Up Dow n FCH Close Delay R_S Lamp RWD[6..0] FOD[6..0] FCD[6..0] inst 图 8-1 电梯控制器外部接口图 由此不难得出如图 8-1 所示电梯控制器的外部接口图 其中 CLK 为 2Hz 的基准时钟输入信号, 上升沿有效 ;Up/Down 为上 / 下行请求信号,Fch 为楼层选择信号,Close 为提前关门信号,Delay 为延时关门信号, 均高电平有效 ; 电梯运行开关信号 R_S 在高电平时电梯正常运行, 低电平时停止运行 输出信号包括电梯运行 / 停止显示信号 Lamp, 电梯运行等待时间显示信号 RWD[6..0], 电梯所在楼层指示信号 FOD[6..0] 和楼层选择指示信号 FCD[6..0], 后三个输出信号要能够直接指示电梯控制器的运行状态, 因此可以直接采用共阴 LED 数码显示管 对于整个电梯控制系统而言, 其组成应该包括两大部分 : 除完成接收输入请求信号进行处理并产生各种运行控制信号的主体控制模块之外, 还应该有接收控制电路输出运行状态信号以实现电梯工作状态指示的显示模块

2 8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 FCD 楼层选择 运行开关 R_S 提前关门 CLOSE 延时关门 DELAY 电梯升降 控制器 (Fc) 电梯次态生成器 (Fst) 下降请求寄存器 (Ur) 上升请求寄存器 (Dr) LMAP 状态指示 R_S 运行时间 FOD 楼层指示 图 8-2 电梯控制器的内部组成框图 控制模块可以采用多进程的方式来实现 : 1. 分频及楼选信号产生进程 (P1): 将输入的基准时钟信号进行 2 分频, 产生秒脉冲信号以对 楼选指示变量 Fd 进行从 0-8 的计数, 同时供其它进程及模块使用 2. 楼层请求寄存器的置位与复位进程 (P2): 通过楼层选择指示变量 Fd 电梯所在楼层变量 Fc 和输入信号 Up Down Fch 来判断楼层请求寄存器 Ur Dr 的置位 假设电梯所在楼层为 Num, 如果电梯处于运行中, 这时若楼层选择指示为 T 且 T>Num 时按下了 楼层选择按钮 Fch, 或者按下了楼层上升请求键 Up, 则对应的上升请求寄存器 Ur(T) 置为 1; 否则, 若电梯运行时间到且没有任何请求, 则对应的上升请求寄存器 Ur(T) 置为 0 反之, 如果电梯处于 运行中, 这时若楼层选择指示为 T 且 T<Num 时按下了楼层选择按钮 Fch, 或者按下了楼层下降请求 键 Down, 则对应的下降请求寄存器 Dr(T) 置为 1; 否则, 若电梯运行时间到且没有任何请求, 则 对应的下降请求寄存器 Dr(T) 置为 0 除此而外各楼层的升降请求寄存器都置为 0 3. 电梯运行次态控制进程 (P3): 根据升降寄存器 Ur/Dr 的状态和所在楼层变量 Fc, 在运行或 等待计数器 Wc 为 110 时, 给出电梯下一个状态 (Fst): 11 表示上升 10 表示下降 01 和 00 表示等待 若电梯在运行状态 ( 即 R_S= 1 ), 假设运行时间到 ( 即 Wc= 110 ), 如果这时升降寄存器各 位均为 0( 即 Ur OR Dr= ), 则电梯处于等待状态, 下一状态信号 Fst 为 01 或 00 ; 否则若电梯处于第 N 层, 如果此时 N+1 层的上升或下降寄存器的值为 1, 则电梯处于运行等待状 态 ; 如果此时 N+1 层以上的上升或下降寄存器有请求或者 N 层及以下的上升或下降寄存器有请求, 电梯处于上升运行状态,Fst 的值为 11, 其余情况下电梯处于下降运行状态,Fst 的值为 电梯运行楼层计数及提前延时关门控制进程 (P4): 该进程由进程 P1 产生的秒脉冲信号驱动, 响应 CloseX DelayX 信号完成提前关门和延时关门请求, 并根据 Fst 的状态对楼层计数器 Fc 进行 相应的增减 主体控制模块的 VHDL 代码如下 : LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL;

3 USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY Dtkz_Kzq IS PORT(CLK: IN STD_LOGIC; -- 时钟信号 Up: IN STD_LOGIC; -- 上升请求 Down: IN STD_LOGIC; -- 下降请求 Fch: IN STD_LOGIC; -- 楼层选择 Close: IN STD_LOGIC; -- 提前关门 Delay: IN STD_LOGIC; -- 延时关门 R_S: IN STD_LOGIC; -- 电梯运行开关 Lamp: OUT STD_LOGIC; -- 运行或停止灯 RWD: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); FOD: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); FCD: OUT STD_LOGIC_VECTOR (3 DOWNTO 0)); END Dtkz_Kzq; -- 运行 / 等待时间 -- 所在楼层指示 -- 楼层选择指示 ARCHITECTURE Dtkz_1 OF Dtkz_Kzq IS SIGNAL ur,dr:std_logic_vector (9 DOWNTO 1); -- 上升或下降请求寄存器 SIGNAL fd,fc:integer RANGE 0 TO 8; -- 楼选指示及楼层计数器 SIGNAL wc:std_logic_vector (2 DOWNTO 0); -- 运行或等待计数器 SIGNAL dic,fcr,clkin:std_logic; -- 时钟 2 分频及楼选复位 SIGNAL fst:std_logic_vector (1 DOWNTO 0); -- 电梯运行状态变量 SIGNAL closex,delayx:std_logic; -- 提前及延迟关门变量 fcd<=conv_std_logic_vector(fd,4)+1; fod<=conv_std_logic_vector(fc,4)+1; rwd<='0'& wc; fcr<=wc(2) AND (NOT wc(1)) AND wc(0); closex<=close AND (NOT fst(1)); delayx<=delay AND (NOT fst(1)); P0:PROCESS(clk) IF (clk'event AND clk='1') THEN lamp<=fst(1); END PROCESS P0; P1:PROCESS(clk) -- 分频及楼选信号产生进程 IF (clk'event AND clk='1') THEN dic<=not dic; IF (fd=8) THEN fd<=0; fd<=fd+1; END PROCESS P1; P2:PROCESS(ur,dr,fd,up,down,fch,fc,wc,r_s,fcr) -- 楼层请求寄存器置位与复位进程 VARIABLE num,t:integer RANGE 0 TO 9; num:=fc+1; t:=fd+1; IF (r_s='1') THEN -- 电梯运行时 -- 选择楼层大于当前楼层或有上升请求 IF (((t>num) AND (fch='1')) OR (up='1')) THEN CASE t IS WHEN 1 => ur(1)<='1'; WHEN 2 => ur(2)<='1'; WHEN 3 => ur(3)<='1'; WHEN 4 => ur(4)<='1'; WHEN 5 => ur(5)<='1'; WHEN 6 => ur(6)<='1'; WHEN 7 => ur(7)<='1'; WHEN 8 => ur(8)<='1';

4 WHEN 9 => ur(9)<='1'; -- 电梯运行时间到 ELSIF (fcr='1') THEN CASE num IS WHEN 1 => ur(1)<='0'; WHEN 2 => ur(2)<='0'; WHEN 3 => ur(3)<='0'; WHEN 4 => ur(4)<='0'; WHEN 5 => ur(5)<='0'; WHEN 6 => ur(6)<='0'; WHEN 7 => ur(7)<='0'; WHEN 8 => ur(8)<='0'; WHEN 9 => ur(9)<='0'; -- 选择楼层小于当前楼层或有下降请求 IF (((t<num) AND (fch='1')) OR (down='1')) THEN CASE t IS WHEN 1 => dr(1)<='1'; WHEN 2 => dr(2)<='1'; WHEN 3 => dr(3)<='1'; WHEN 4 => dr(4)<='1'; WHEN 5 => dr(5)<='1'; WHEN 6 => dr(6)<='1'; WHEN 7 => dr(7)<='1'; WHEN 8 => dr(8)<='1'; WHEN 9 => dr(9)<='1'; -- 电梯运行时间到 ELSIF (fcr='1') THEN CASE num IS WHEN 1 => dr(1)<='0'; WHEN 2 => dr(2)<='0'; WHEN 3 => dr(3)<='0'; WHEN 4 => dr(4)<='0'; WHEN 5 => dr(5)<='0'; WHEN 6 => dr(6)<='0'; WHEN 7 => dr(7)<='0'; WHEN 8 => dr(8)<='0'; WHEN 9 => dr(9)<='0'; ur<=" "; dr<=" "; END PROCESS P2; P3:PROCESS(ur,dr,fc,fst,wc,r_s) -- 电梯运行次态控制进程 IF (r_s='1') THEN -- 电梯运行时 IF (wc="110") THEN IF ((ur OR dr)=" ") THEN CASE fc IS WHEN 0 => -- 电梯在第 1 层 IF ((ur(1) OR dr(1))>'0') THEN WHEN 1 => -- 电梯在第 2 层

5 IF ((ur(2) OR dr(2))>'0') THEN ((ur(9 DOWNTO 3) OR dr(9 DOWNTO 3)) >" ")) OR ((ur(1) OR dr(1))='0')) THEN WHEN 2 => -- 电梯在第 3 层 IF ((ur(3) OR dr(3))>'0') THEN ((ur(9 DOWNTO 4) OR dr(9 DOWNTO 4))>"000000")) OR ((ur(2 DOWNTO 1) OR dr(2 DOWNTO 1))="00")) THEN WHEN 3 => -- 电梯在第 4 层 IF ((ur(4) OR dr(4))>'0') THEN ((ur(9 DOWNTO 5) OR dr(9 DOWNTO 5))>"00000")) OR ((ur(3 DOWNTO 1) OR dr(3 DOWNTO 1))="000")) THEN WHEN 4 => -- 电梯在第 5 层 IF ((ur(5) OR dr(5))>'0') THEN ((ur(9 DOWNTO 6) OR dr(9 DOWNTO 6))>"0000")) OR ((ur(4 DOWNTO 1) OR dr(4 DOWNTO 1))="0000")) THEN WHEN 5 => -- 电梯在第 6 层 IF ((ur(6) OR dr(6))>'0') THEN ((ur(9 DOWNTO 7) OR dr(9 DOWNTO 7))>"000")) OR ((ur(5 DOWNTO 1) OR dr(5 DOWNTO 1))="00000")) THEN WHEN 6 => -- 电梯在第 7 层 IF ((ur(7) OR dr(7))>'0') THEN ((ur(9 DOWNTO 8) OR dr(9 DOWNTO 8))>"00")) OR ((ur(6 DOWNTO 1) OR dr(4 DOWNTO 1))="000000")) THEN WHEN 7 => -- 电梯在第 8 层 IF ((ur(8) OR dr(8))>'0') THEN ((ur(9) OR dr(9))>'0')) OR ((ur(7 DOWNTO 1) OR dr(7 DOWNTO 1))=" ")) THEN WHEN 8 => -- 电梯在第 9 层

6 IF ((ur(9) OR dr(9))>'0') THEN WHEN OTHERS=>NULL; fst<="00"; END PROCESS P3; P4:PROCESS(dic,wc,fst,closex,delayx) -- 楼层计数及关门时间控制进程 IF (dic'event AND dic='1') THEN -- 分频后的时钟上升沿 IF (wc="000" OR closex='1') THEN wc<="110"; IF (delayx='0') THEN wc<=wc-1; wc<="010"; IF (wc="001") THEN -- 电梯处于运行状态 IF (fst="11") THEN -- 电梯上升, 楼层加 1 fc<=fc+1; ELSIF (fst="10") THEN -- 电梯下降, 楼层减 1 fc<=fc-1; END PROCESS P4; END Dtkz_1; ⒉ 显示模块 显示模块用于实现电梯控制器状态指示, 其输入为电梯控制器主控模块的运行状态输出信号, 输出信号用于驱动共阴极 LED 数码显示管 该模块的 VHDL 代码如下 : LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY Dtkz_Led IS PORT( Lin:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 输入 BCD 码 Lout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); -- 输出七段码 END Dtkz_Led; ARCHITECTURE Dtkz_2 OF Dtkz_Led IS PROCESS (Lin) CASE Lin IS -- 字形段码, 依次为 "G F E D C B A" WHEN "0000" => Lout<=" "; -- 显示 0 WHEN "0001" => Lout<=" "; -- 显示 1 WHEN "0010" => Lout<=" "; -- 显示 2 WHEN "0011" => Lout<=" "; -- 显示 3 WHEN "0100" => Lout<=" "; -- 显示 4 WHEN "0101" => Lout<=" "; -- 显示 5 WHEN "0110" => Lout<=" "; -- 显示 6 WHEN "0111" => Lout<=" "; -- 显示 7 WHEN "1000" => Lout<=" "; -- 显示 8 WHEN "1001" => Lout<=" "; -- 显示 9 WHEN OTHERS => Lout<=" "; -- 其它情况灯灭

7 END PROCESS; END Dtkz_2; 8.4 仿真分析 由于要以数码形式显示三个信号, 因此电梯控制器由一个主体控制模块与三个显示模块构成, 如图 8-3 所示 图 8-3 电梯控制器的顶层原理图 对主体控制模块进行功能仿真得到的仿真波形如图 8-4 所示 当信号 Close 为高电平时, 电 梯进入运行次态控制进程, 通过判断上升 下降请求寄存器每一位的值, 决定电梯的运行状态, 并 通过状态指示信号输出该状态 图 8-4 控制模块仿真波形

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

基于VHDL的三层电梯控制器

基于VHDL的三层电梯控制器 基于 VHDL 的三层电梯控制器 中国海洋大学 : 梁山宋连法马立洋 邮箱 442458366@qq.com 摘要 随着时代的进步 科技的发展, 各大城市高楼大厦平地起, 这是城市建设蓬勃发展的标志, 我们就是在这喧哗的城市中生活 工作着, 每天都会上下班, 每天都会进出电梯 ; 从此, 电梯与我们的生活形影不离, 并让我们上下高楼变得方便 安全快捷 从奥的斯发明的历史上第一部安全升降梯到现在的电梯,

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

- 2 - 第 2 點 )( 見 FCR(2014-15)1 號 文 件 )( 職 銜 為 民 政 事 務 局 首 席 助 理 秘 書 長 ( 康 樂 及 體 育 )2), 任 期 兩 年, 由 二 零 一 四 年 六 月 六 日 至 二 零 一 六 年 六 月 五 日, 以 進 行 體 育 園 區

- 2 - 第 2 點 )( 見 FCR(2014-15)1 號 文 件 )( 職 銜 為 民 政 事 務 局 首 席 助 理 秘 書 長 ( 康 樂 及 體 育 )2), 任 期 兩 年, 由 二 零 一 四 年 六 月 六 日 至 二 零 一 六 年 六 月 五 日, 以 進 行 體 育 園 區 立 法 會 C B(2)415/15-16(02) 號 文 件 二 零 一 五 年 十 二 月 十 一 日 討 論 文 件 立 法 會 民 政 事 務 委 員 會 建 議 就 啟 德 體 育 園 區 項 目 延 長 一 個 首 長 級 編 外 職 位 目 的 本 文 件 旨 在 請 委 員 支 持 延 長 一 個 現 有 首 長 級 編 外 職 位 ( 首 長 級 薪 級 第 2 點 ) 的 任 期,

More information

FCR(2012-13)23 第 2 頁 及 格 程 度 該 學 歷 亦 獲 政 府 接 納 為 符 合 逾 30 個 公 務 員 職 系 的 入 職 要 求, 這 些 職 系 的 入 職 要 求 為 香 港 中 學 會 考 5 科 ( 包 括 中 國 語 文 和 英 國 語 文 科 ) 及 格 毅

FCR(2012-13)23 第 2 頁 及 格 程 度 該 學 歷 亦 獲 政 府 接 納 為 符 合 逾 30 個 公 務 員 職 系 的 入 職 要 求, 這 些 職 系 的 入 職 要 求 為 香 港 中 學 會 考 5 科 ( 包 括 中 國 語 文 和 英 國 語 文 科 ) 及 格 毅 FCR(2012-13)23 財 務 委 員 會 討 論 文 件 2012 年 5 月 11 日 總 目 156- 政 府 總 部 : 教 育 局 分 目 700 一 般 非 經 常 開 支 新 項 目 毅 進 文 憑 請 各 委 員 批 准 開 立 為 數 10 億 元 的 新 承 擔 額, 用 以 在 2012/ 13 學 年 開 始 的 首 5 個 學 年 期 間, 推 行 新 毅 進 文 憑

More information

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路 数字电路与系统设计 EDA 实验 VHDL 设计初步 主讲 : 杨明磊 Email: mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室 2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc)

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc) 貳 選 組 的 考 量 選 組 議 題 對 最 近 高 一 同 學 來 說 是 迫 在 眉 睫, 其 實 有 些 同 學 是 老 神 在 在, 早 就 知 道 要 選 自 然 組 或 社 會 組 ; 但 是 對 少 數 同 學 說 是 困 擾 多 多, 因 為 真 的 難 以 取 捨, 不 知 如 何 是 好 此 問 題 須 考 慮 到 興 趣 能 力 大 學 校 系 工 作 價 值 觀 行 業 趨

More information

!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!# $% & $%%% ( )*+,-./00-(11.-. $%! $  # $ % & ( - ) +%23!# $%%% %,.%,! $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! )*+,-./00-(11 !"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% 4 3301 3 & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11.-. & " 2./ $. %% !" #!!"""!"!"!"!" "!!#!#!#!# "!###!!$

More information

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

!! !! ! !! ! ! !!#$% & ()*+, -./!000$ 1-2$##0! 3 ! !! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3 !" #" $%& " (" ) ( !!" #" #$$$! #$$%!# & !" #" $" % !!" #" $" %"! &! &!! &! &! !" #$% #$% &" " (" )" * !!!!!!!!!!!! "!!"!! "!! " # " # " # $ "%

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

Microsoft Word - 中耳的主要疾病~中耳炎.doc

Microsoft Word - 中耳的主要疾病~中耳炎.doc 投 稿 類 別 : 生 物 類 篇 名 : 中 耳 的 主 要 疾 病 中 耳 炎 作 者 : 周 譽 積 市 立 大 理 高 中 高 307 班 李 宗 遠 市 立 大 理 高 中 高 307 班 林 岑 聿 市 立 大 理 高 中 高 307 班 指 導 老 師 : 牟 建 明 老 師 - 0 - 壹 前 言 中 耳 的 主 要 疾 病 中 耳 炎 一 研 究 目 的 我 們 常 聽 到 一 些

More information

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作 安 徽 : 道 德 信 贷 帮 助 想 干 事 的 好 人 干 成 事 摘 要 安 徽 省 实 施 道 德 信 贷 工 程 两 年 多 来, 通 过 对 道 德 模 范 和 身 边 好 人 优 先 评 级 授 信 优 惠 贷 款 利 率 等 举 措, 为 有 需 要 的 道 德 模 范 和 身 边 好 人 化 解 资 金 之 渴 道 德 模 范 和 身 边 好 人 用 善 行 义 举 温 暖 了 社

More information

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项 关 于 申 报 2016 年 度 广 东 省 基 础 与 应 用 基 础 研 究 专 项 资 金 ( 省 自 然 科 学 基 金 ) 项 目 的 通 知 来 源 : 广 东 省 科 技 厅 基 础 研 究 与 科 研 条 件 处 发 布 日 期 : 2015-08-12 粤 科 函 基 字 (2015)1150 号 按 照 广 东 省 省 级 财 政 专 项 资 金 管 理 办 法 规 定,2016

More information

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 普 雷 斯 科 特 完 全 没 有 掩 饰 他 的 紧 张, 我 想 那 些 墙 壁 很 厚, 它

More information

序 文 藝 社 (Society of Chinese Literature) 在 去 年 暑 假 開 始 籌 措 成 立, 二 零 一 三 年 九 月 正 式 展 開 所 有 活 動 文 藝 社 成 立 的 目 的 旨 在 讓 學 生 學 習 欣 賞 歷 代 名 篇 名 作, 其 中 以 古 典

序 文 藝 社 (Society of Chinese Literature) 在 去 年 暑 假 開 始 籌 措 成 立, 二 零 一 三 年 九 月 正 式 展 開 所 有 活 動 文 藝 社 成 立 的 目 的 旨 在 讓 學 生 學 習 欣 賞 歷 代 名 篇 名 作, 其 中 以 古 典 序 文 藝 社 (Society of Chinese Literature) 在 去 年 暑 假 開 始 籌 措 成 立, 二 零 一 三 年 九 月 正 式 展 開 所 有 活 動 文 藝 社 成 立 的 目 的 旨 在 讓 學 生 學 習 欣 賞 歷 代 名 篇 名 作, 其 中 以 古 典 散 文 為 主 ; 開 拓 學 生 的 文 藝 視 角 和 創 作 平 台 ; 強 化 對 外 的 創

More information

第八章

第八章 CPLD 8.1 CPLD CPLD CPLD CPLD A/D D/A 0.1Hz-50MHz CPLD 1 16 BCD 2 MAX+PLUS 10.0 3 ACEX EP1K100QC208-3 4 CPLDEE-4 (1) 1000Hz 1000Hz 1MHz f=100mhz/ 8-1 1000Hz? K 8-1 212 FS 8-2 8-2 inclk 40MHz fin 0.1Hz 50MHz

More information

ICS 93. 080. 30 R 87 GA GA/T 508 2004 Road traffic counting down display unit 2004-08-09 2004-10-01 ... II 1... 3 2... 3 3... 3 4... 4 5... 5 6... 9 7... 13 8... 14 9... 14 10... 14 A... 15 I A II 1 2

More information

Microsoft Word - EDA2006_A_Answer

Microsoft Word - EDA2006_A_Answer 大规模数字集成电路设计 试卷 A 标准答案与评分细则 ( 卷面总分 :80 分 ) 一. 名词解释 (2 分 6 题 )( 评分标准 : 给出正确英文的 2 分 / 题, 仅给中文解释 1 分 / 题 ) 1. EDA:Electronic Design Automation 2. FPGA:Field Programmable Gate-Array 3. ASIC:Application Specific

More information

4.1 VHDL VHDL 4-1 a b & c 4-1 2

4.1 VHDL VHDL 4-1 a b & c 4-1 2 4.1 VHDL 4.2 VHDL 4.3 VHDL 4.4 VHDL 4.5 1 4.1 VHDL 4.1.1 VHDL 4-1 a b & c 4-1 2 ( 4-1 ) (1) a b c ( 1 ) (2) c=a b CPU VHDL 3 VHDL 4-2 a b & c a c b c a b 4-2 VHDL 4 1 ENTITY IS d0 & 1 q END d1 & sel 1

More information

1

1 附 件 2 2013 年 度 勘 察 设 计 注 册 工 程 师 资 格 考 试 报 考 条 件 一. 注 册 土 木 工 程 师 ( 岩 土 ) 资 格 考 试 条 件 1 参 加 注 册 土 木 工 程 师 ( 岩 土 ) 基 础 考 试, 应 具 备 下 列 条 件 之 一 : ⑴ 取 得 本 专 业 或 相 近 专 业 大 学 本 科 及 以 上 学 历 或 学 位 ⑵ 取 得 本 专 业

More information

( 十 二 ) 臺 灣 博 物 館 邁 向 國 際 亮 點 整 備 : 推 動 國 立 博 物 館 之 國 際 展 覽 交 流, 利 用 臺 灣 數 位 典 藏 及 科 技 優 勢, 配 合 特 有 文 物 藝 術 品 或 標 本, 將 臺 灣 從 以 展 覽 輸 入 為 主 之 市 場, 轉 換

( 十 二 ) 臺 灣 博 物 館 邁 向 國 際 亮 點 整 備 : 推 動 國 立 博 物 館 之 國 際 展 覽 交 流, 利 用 臺 灣 數 位 典 藏 及 科 技 優 勢, 配 合 特 有 文 物 藝 術 品 或 標 本, 將 臺 灣 從 以 展 覽 輸 入 為 主 之 市 場, 轉 換 文 化 部 105 年 度 施 政 目 標 與 重 點 本 部 透 過 提 升 文 化 資 源 弱 勢 地 區 及 弱 勢 族 群 文 化 參 與, 縮 短 城 鄉 文 化 差 距 ; 整 合 並 輔 導 博 物 館 與 地 方 文 化 館, 提 升 營 運 效 能, 推 動 村 落 文 化 發 展, 凝 聚 社 區 主 體 意 識 ; 推 動 文 化 資 產 指 定 登 錄 工 作, 建 立 文

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

! "#$% & ())*! ++, +- +.)! ++ ())* / 0!!""#!

! #$% & ())*! ++, +- +.)! ++ ())* / 0!!#! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

untitled

untitled 論 FPGA FPGA External Step Motor Control Module 立 老 林 年 立 老 論 VHDL VHDL 了 論 老 了 度 老 立 老 不 老 都 度 行 流 VHDL 年 來 論 了 不 識 念 VHDL IEEE IEEE 1076 1993 年 度 VHDL 不 FPGACPLD 了 路 利 廉 FPGA 立 利 VHDL ( MAXPLUS) 路 (

More information

第一章引言 ISE 是 Xilinx 公司提供的一套集成开发工具, 它支持几乎所有的 Xilinx 公司的 FPGA/CPLD 主流产品, 并完成整个 FPGA/CPLD 的开发过程, 包括从设计输入到综合 布线 仿真 下载的全套解决方案, 并很方便的同其他 EDA 工具接口 ISE 将先进的技术与

第一章引言 ISE 是 Xilinx 公司提供的一套集成开发工具, 它支持几乎所有的 Xilinx 公司的 FPGA/CPLD 主流产品, 并完成整个 FPGA/CPLD 的开发过程, 包括从设计输入到综合 布线 仿真 下载的全套解决方案, 并很方便的同其他 EDA 工具接口 ISE 将先进的技术与 用 8*8 点阵实现贪吃蛇游戏 傅丽琴 徐楠楠 中国海洋大学 15726204330@163.com 中国海洋大学 15762264727@163.cm 摘要 本实验以设计贪吃蛇游戏为课题, 用 VHDL 语言实现 最终结果是以 8*8 点阵作为本游 戏的显示界面, 通过四个按键分别控制蛇的运动方向, 分别为 上下左右 蛇的长度为 7 个点阵, 另外以一点表示老鼠, 当蛇头吃到老鼠时, 老鼠则在点阵上改变位置,

More information

用户手册

用户手册 cover page 66 MC-1 67 page 2 3 3 3 4 5 LEICA MC 7 9 11 13 15 17 17 19 20 21 21 23 25 35 39 53 55 57 59 60 TV 62 62 63 LEICA M500-N 63 LEICA MC 64 65 page 3 LEICA MC-1 M500-N LEICA MC-1 LEICA MC-1 LEICA

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

<4D F736F F D20C8FDB2E3B5E7CCDDCBE6BBFABFD8D6C6CFB5CDB32E646F63>

<4D F736F F D20C8FDB2E3B5E7CCDDCBE6BBFABFD8D6C6CFB5CDB32E646F63> 三层电梯随机控制系统 雷桐 王珺 南京大学电子科学与工程系 2007 年 6 月 一 引言... - 2 - 二 设计原理... - 2-1. PLC 输入输出的分配...- 2-2. 频率传输环节...- 2-3. 外呼信号, 内呼信号的登记与消除环节...- 3-4. 停车制动环节...- 4-5. 开关门环节...- 5-6. 定向环节及反向屏蔽环节...- 6-7. 呼叫信号取消环节...-

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

湘财证券股份有限公司关于推荐

湘财证券股份有限公司关于推荐 湘 财 证 券 股 份 有 限 公 司 关 于 推 荐 北 京 利 昌 鸿 达 科 技 股 份 有 限 公 司 股 份 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 并 公 开 转 让 的 推 荐 报 告 根 据 全 国 中 小 企 业 股 份 转 让 系 统 有 限 责 任 公 司 ( 以 下 简 称 全 国 股 份 转 让 系 统 公 司 ) 下 发 的 全 国 中 小 企 业

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

c) 电梯停留状态 (WFS 状态,Wait for Service): 电梯停在某层, 且门长时间处于关闭状态 此状态电梯速度等于 0, 且请求队列为空 ( 门关闭时刻, 门准备打开时刻或电梯准备启动时刻 ] d) STILL 状态 : 此时电梯处于运行速度为 0 的状态 [ 电梯运行停止时刻,

c) 电梯停留状态 (WFS 状态,Wait for Service): 电梯停在某层, 且门长时间处于关闭状态 此状态电梯速度等于 0, 且请求队列为空 ( 门关闭时刻, 门准备打开时刻或电梯准备启动时刻 ] d) STILL 状态 : 此时电梯处于运行速度为 0 的状态 [ 电梯运行停止时刻, OO 第五次作业要求 2018 1. 作业目标 本次作业是设计一套由 3 部电梯组成的多电梯调度系统, 通过采用线程机制, 在第三次作业所实现程序的基础上完成新的调度系统程序 2. 作业内容和成果物 2.1 单电梯系统基本描述 此部分内容继承自第二和三次作业的指导书 2.2 多电梯系统的补充描述 1) 本电梯系统由 3 部完全一样的电梯组成 2) 三部电梯的运行在 1~20 层 ( 含两端 ) 之间,

More information

é é é è H S H + E S + J ( 105 ) 2 2 2 ( 1 R S2. 1)( 1 R S. 4. 123)( 1 RS. 612345. ) 1 ( 1 R S1) 2 2 ( 1 R S7. 123456)( 1 R 81234567. ) é ê

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

A.1 B.2 C.3 D.4 解 析 : 依 据 已 学 知 识 可 知 吐 蕃 是 藏 族 祖 先 建 立 的 一 个 王 朝 7 世 纪 前 期, 松 赞 干 布 做 了 吐 蕃 的 赞 普, 统 一 了 青 藏 高 原, 定 都 逻 些, 由 此 分 析 可 知 吐 蕃 在 地 理 位 置

A.1 B.2 C.3 D.4 解 析 : 依 据 已 学 知 识 可 知 吐 蕃 是 藏 族 祖 先 建 立 的 一 个 王 朝 7 世 纪 前 期, 松 赞 干 布 做 了 吐 蕃 的 赞 普, 统 一 了 青 藏 高 原, 定 都 逻 些, 由 此 分 析 可 知 吐 蕃 在 地 理 位 置 2014 年 广 东 省 广 州 中 考 真 题 历 史 一 单 项 选 择 题 ( 本 大 题 共 25 小 题, 每 小 题 3 分, 共 75 分 在 每 小 题 列 出 的 四 个 选 项 中, 只 有 一 个 是 正 确 的, 请 把 答 题 卡 上 对 应 题 目 所 选 的 选 项 涂 黑 ) 1.2014 年 5 月 18 日, 集 展 览 科 普 教 育 等 功 能 于 一 体 的

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

大 力 度, 确 保 国 家 规 划 目 标 如 期 实 现 检 查 组 强 调, 做 好 血 吸 虫 病 防 治 是 保 障 和 改 善 民 生 提 高 群 众 健 康 水 平 的 重 要 举 措, 功 在 当 代, 利 在 千 秋 两 省 要 充 分 认 识 血 吸 虫 病 防 治 工 作 的

大 力 度, 确 保 国 家 规 划 目 标 如 期 实 现 检 查 组 强 调, 做 好 血 吸 虫 病 防 治 是 保 障 和 改 善 民 生 提 高 群 众 健 康 水 平 的 重 要 举 措, 功 在 当 代, 利 在 千 秋 两 省 要 充 分 认 识 血 吸 虫 病 防 治 工 作 的 血 防 工 作 动 态 2014 年 第 二 期 ( 总 第 75 期 ) 血 防 工 作 部 际 联 席 会 议 办 公 室 二 〇 一 四 年 六 月 十 八 日 国 家 卫 生 计 生 委 徐 科 副 主 任 带 队 检 查 江 苏 和 湖 南 省 血 吸 虫 病 防 治 工 作 按 照 全 国 血 吸 虫 病 防 治 工 作 部 际 联 席 会 议 春 查 秋 会 制 度 要 求,2014

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

B3B2B1B0 CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量 五 上 自 然 與 生 活 科 技 科 第 四 單 元 水 溶 液 一 選 擇 題 01.( 0 下 列 哪 一 種 方 法 可 以 辨 識 出 水 溶 液 的 酸 鹼 性? 1 用 眼 睛 仔 細 觀 察 2 用 電 池 電 線 和 小 燈 泡 來 測 試 3 用 食 鹽 水 來 辨 識 4 用 紫 羅 蘭 花 的 汁 液 來 測 試 02.( 0 下 列 哪 一 種 水 溶 液 不 是 中 性

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :483 第十讲时序逻辑 时序元件 ( 锁存器 ) 佟冬 Microprocessor &D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2fall 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 :

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

項 別 檢 核 項 目 檢 核 標 準 備 註 活 動 計 畫 執 行 情 形 效 益 評 估 計 畫 擬 定 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 行 前 準 備 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 內 容 設 計 非 常 滿 意 滿 意 尚 可 待 改 進

項 別 檢 核 項 目 檢 核 標 準 備 註 活 動 計 畫 執 行 情 形 效 益 評 估 計 畫 擬 定 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 行 前 準 備 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 內 容 設 計 非 常 滿 意 滿 意 尚 可 待 改 進 高 雄 市 立 前 鎮 國 中 103 學 年 度 活 動 成 果 報 告 活 動 ( 計 畫 ) 名 稱 :1-3-4-6 親 職 教 育 活 動 項 次 說 明 執 行 時 間 承 辦 組 別 地 點 執 行 方 式 103 年 1 月 ~103 年 12 月 輔 導 組 資 料 組 特 教 組 A 棟 三 樓 會 議 室 活 動 宣 導 競 賽 研 習 其 他 家 庭 訪 視 一 教 育 部

More information

第八章 社会事业发展与边疆和谐稳定

第八章  社会事业发展与边疆和谐稳定 附 件 黑 龙 江 和 内 蒙 古 东 北 部 地 区 沿 边 开 发 开 放 规 划 2013 年 8 月 目 录 前 言.1 第 一 章 开 放 基 础 与 发 展 背 景...2 第 一 节 开 放 合 作 条 件... 2 第 二 节 机 遇 与 挑 战... 3 第 三 节 战 略 意 义... 4 第 二 章 战 略 定 位 与 发 展 目 标...4 第 一 节 指 导 思 想...

More information

中文演讲之二十.doc

中文演讲之二十.doc 轧 机 轴 承 技 术 及 应 用 洛 阳 轴 研 科 技 股 份 有 限 公 司 赵 广 炎 概 述 在 轧 机 工 作 过 程 中, 轴 承 处 于 非 常 恶 劣 的 工 作 环 境, 往 往 要 抵 御 重 载 冲 击 高 温 水 和 灰 尘 的 影 响, 这 些 极 端 工 况 对 轧 机 轴 承 的 质 量 可 靠 性 和 寿 命 有 着 非 常 高 的 要 求 ZYS 轧 机 轴 承

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

FCR(2016-17)59 第 2 頁 (a) 由 2016 年 4 月 1 日 起 - (i) 把 公 務 員 薪 級 表 內 首 長 級 和 高 層 薪 金 級 別 的 薪 點 的 金 額 上 調 4.19%; 以 及 (ii) 把 公 務 員 薪 級 表 內 中 層 和 低 層 薪 金 級

FCR(2016-17)59 第 2 頁 (a) 由 2016 年 4 月 1 日 起 - (i) 把 公 務 員 薪 級 表 內 首 長 級 和 高 層 薪 金 級 別 的 薪 點 的 金 額 上 調 4.19%; 以 及 (ii) 把 公 務 員 薪 級 表 內 中 層 和 低 層 薪 金 級 FCR(2016-17)59 財 務 委 員 會 討 論 文 件 2016 年 6 月 28 日 2016-17 年 度 公 務 員 薪 酬 調 整 請 各 委 員 批 准 - (a) 因 應 2016-17 年 度 公 務 員 薪 酬 調 整, 由 2016 年 4 月 1 日 起, 對 公 務 員 薪 級 表 作 下 述 調 整 - (i) 把 首 長 級 和 高 層 薪 金 級 別 的 薪 點

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

untitled

untitled 1 EDA_VHDL / 1-1 QuartusII 1-2. QuartusII 1-3. 0 1-4. 7 1-5. 8 1-6. 1-7. 32 / 1-8. QuartusII 8 1-9. QuartusII 1-10. QuartusII 1-11. 8 16 1-12. 1-13. VHDL A/D 1-14. 1-15. D/A A/D 1-16 1-17 1-18 1-19 1-20

More information

目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11

目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11 目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11 ( 六 ) 毕 业 生 薪 酬 情 况... 12 ( 七 ) 就 业 与 所 学 专 业 相 关 度...

More information

第 一 部 分 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 ) 概 况 一 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 ) 主 要 职 能 ( 一 ) 广 泛 团 结 和 组 织 广 州 地 区 社 会 科 学 理 论 研 究 和 实 际 工 作 者, 以 马 克 思 列 宁

第 一 部 分 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 ) 概 况 一 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 ) 主 要 职 能 ( 一 ) 广 泛 团 结 和 组 织 广 州 地 区 社 会 科 学 理 论 研 究 和 实 际 工 作 者, 以 马 克 思 列 宁 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 )2016 年 部 门 预 算 目 录 第 一 部 分 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 ) 概 况 一 部 门 主 要 职 能 二 部 门 预 算 单 位 构 成 三 部 门 人 员 构 成 第 二 部 分 2016 年 部 门 预 算 安 排 情 况 说 明 第 三 部 分 2016 年 部 门 预 算 报 表 一 收

More information

中共宿迁市委办公室发电

中共宿迁市委办公室发电 重 点 招 商 产 业 目 录 宿 迁 市 委 市 政 府 重 大 项 目 招 商 办 公 室 二 O 一 四 年 四 月 目 录 一 传 统 支 柱 产 业 ( 一 ) 酿 酒 食 品 产 业 1 ( 二 ) 纺 织 服 装 产 业 1 纺 织 印 染 服 务 中 心 项 目 2 ( 三 ) 林 木 加 工 产 业 2 ( 四 ) 玻 璃 建 材 产 业 2 ( 五 ) 机 械 电 子 产 业 3

More information

-------------------------------------------------------------------------------- CASE -------------------------------------------------------------------------------- --------------------------------------------------------------------------------

More information

History Universal Universal 1958Universal 1984Magnetek Magnetek Lighting Group Universal Technologies Lighting Energy Savin

History Universal Universal 1958Universal 1984Magnetek Magnetek Lighting Group Universal Technologies Lighting Energy Savin 220240V ELECTRONIC BALLAST History 1967 1947Universal Universal 1958Universal 1984Magnetek 1997 2001Magnetek Lighting Group Universal Technologies Lighting 1999 2002Energy Saving Incorporation P.2 Company

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲 5 相微步马达 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 0.009 1 个旋转 40,000 脉冲! 有自动电流下降 自己测试功能路等功能 型号 KR-55M 输入电源 DC24V 10% 驱动电流 1.4A/ 相 Max 微步 驱动方式 1,2,4,5,8,10,16,20,40,80,

More information

10CP-CW302WN_OG_KOR_ indd

10CP-CW302WN_OG_KOR_ indd CP-CW302WN 1 2 3 1. 2. 3. 3 1 2 4 6 97 18 102 16 6 13, 22, 52 24 24) 16 16 4 25 99 101 5 20 23 20, 105 105 105 30 30 58 10~15 6 7 23 20 23 25 26 26 24 24 19 28 62 22 19 28 62 22 27 26 29 22 30 30, 31 30,

More information

Microsoft Word - 广州开发区管委办公室2016年部门预算.doc

Microsoft Word - 广州开发区管委办公室2016年部门预算.doc 广 州 开 发 区 2016 年 部 门 预 算 目 录 第 一 部 分 开 发 区 概 况 一 部 门 主 要 职 能 二 部 门 预 算 单 位 构 成 三 部 门 人 员 构 成 第 二 部 分 2016 年 部 门 预 算 安 排 情 况 说 明 第 三 部 分 2016 年 部 门 预 算 报 表 一 收 支 预 算 总 表 二 收 入 预 算 总 表 三 支 预 算 总 表 四 一 般

More information

Microsoft Word - 俄罗斯方块游戏是VHDL应用于复杂数字系统的一个经典设计.doc

Microsoft Word - 俄罗斯方块游戏是VHDL应用于复杂数字系统的一个经典设计.doc 俄罗斯方块游戏是 VHDL 应用于复杂数字系统的一个经典设计, 本章将详细介绍该游 戏的设计原理和设计方法 其中包括系统构成 系统设计原理和系统各个模块的实现方法 8.1 系统结构原理 8.1.1 系统端口 图 8.1 给出了系统的顶层设计符号, 其端口包括 : 1)kc:PS/2 键盘时钟输入 ; 2)kd:PS/2 键盘数据输入 ; 3)mclk: 系统主时钟输入 ; 4)blue: 蓝色信号输出到

More information

上海盛瑞电子有限公司

上海盛瑞电子有限公司 2015/5/22 1 / 9 一运动指令 MoveJ MoveJ[\Conc,]ToPoint,Speed[\V] [\T],Zone[\Z][\Inpos],Tool[\WObj]; 1 [\Conc,]: 协作运动开关 (switch) 2 ToPoint: 目标点, 默认为 * (robotarget) 3 Speed: 运行速度数据 (speeddata) 4 [\V]: 特殊运行速度 mm/s

More information

卡 萨 帝 是 源 于 意 大 利 灵 感 的 国 际 高 端 家 电 品 牌, 在 意 大 利 语 中, Lacasa 意 为 家, Arte 意 为 艺 术, 两 者 合 二 为 一 就 是 Casarte, 意 为 家 的 艺 术 秉 持 创 艺 家 电, 格 调 生 活 的 品 牌 理 念,

卡 萨 帝 是 源 于 意 大 利 灵 感 的 国 际 高 端 家 电 品 牌, 在 意 大 利 语 中, Lacasa 意 为 家, Arte 意 为 艺 术, 两 者 合 二 为 一 就 是 Casarte, 意 为 家 的 艺 术 秉 持 创 艺 家 电, 格 调 生 活 的 品 牌 理 念, 复 式 滚 筒 全 自 动 洗 衣 机 XQGH80-HBF1427RH 卡 萨 帝 是 源 于 意 大 利 灵 感 的 国 际 高 端 家 电 品 牌, 在 意 大 利 语 中, Lacasa 意 为 家, Arte 意 为 艺 术, 两 者 合 二 为 一 就 是 Casarte, 意 为 家 的 艺 术 秉 持 创 艺 家 电, 格 调 生 活 的 品 牌 理 念, 在 汲 取 精 致 生 活

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Microsoft Word - 小論文

Microsoft Word - 小論文 篇 名 風 情 萬 種 話 牡 丹 作 者 周 冠 汶 私 立 格 致 高 級 中 學 普 二 孝 戴 嘉 慧 私 立 格 致 高 級 中 學 普 二 孝 1 壹 前 言 牡 丹 自 古 以 來 被 譽 為 百 花 之 王, 並 把 它 作 為 富 貴 吉 祥 的 象 徵, 歷 來 的 王 公 貴 族 以 賞 牡 丹 為 樂 大 唐 帝 國 視 牡 丹 為 國 花, 影 響 所 至, 平 民 百 姓

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

台南市立崇明國民中學九十五學年度第一學期第一次模擬考國文科試卷

台南市立崇明國民中學九十五學年度第一學期第一次模擬考國文科試卷 台 南 市 立 崇 明 國 民 中 學 九 十 九 學 年 度 第 一 學 期 三 年 級 第 二 次 模 擬 考 國 文 科 試 卷 範 圍 〆 第 三 冊 命 題 教 師 〆 張 蘭 芳 * 本 試 卷 共 3 張 5 頁 請 將 答 案 以 2B 鉛 筆 直 接 劃 於 電 腦 答 案 卡 上 一 選 擇 題 1. 下 列 中 的 注 音 寫 成 國 字 之 後, 哪 一 組 的 字 形 相

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

與 海 工 系 出 路 重 疊 的 科 系 土 木 系 環 工 系 水 利 工 程 河 海 工 程 系 資 工 系 化 工 系 地 球 科 學 環 境 教 育 系 以 上 科 系 所 能 從 事 的 工 作, 海 工 系 學 生 通 常 也 能 做 2

與 海 工 系 出 路 重 疊 的 科 系 土 木 系 環 工 系 水 利 工 程 河 海 工 程 系 資 工 系 化 工 系 地 球 科 學 環 境 教 育 系 以 上 科 系 所 能 從 事 的 工 作, 海 工 系 學 生 通 常 也 能 做 2 中 山 大 學 海 工 系 就 業 出 路 解 析 Career 雜 誌 總 編 輯 臧 聲 遠 與 海 工 系 出 路 重 疊 的 科 系 土 木 系 環 工 系 水 利 工 程 河 海 工 程 系 資 工 系 化 工 系 地 球 科 學 環 境 教 育 系 以 上 科 系 所 能 從 事 的 工 作, 海 工 系 學 生 通 常 也 能 做 2 新 政 府 施 政 遠 景 對 海 工 系 影 響

More information

Microsoft Word - LPCV牛舍.doc

Microsoft Word - LPCV牛舍.doc 低 屋 面 横 向 通 风 牛 舍 (LPCV) 李 守 忠 编 译 一 引 言 第 一 栋 低 屋 面 横 向 通 风 牛 舍 (LPCV) 于 2005 年 秋 建 造 于 美 国 南 达 科 他 州, 其 建 筑 型 式 和 管 理 模 式 目 前 已 在 美 国 7 个 州 被 普 遍 认 可, 另 外 10 个 州 也 在 考 虑 采 用 这 种 模 式 LPCV 的 概 念 现 在 已

More information

3542

3542 12 2 104.9.14 104.12.2 (2) 73 質 詢 日 期 : 104 9 22 質 詢 對 象 : 質 詢 題 目 : 說 明 : 9 101 44 9 9 3541 3542 3543 3544 3545 答 覆 單 位 : 14 104 9 29 14 104 10 6 104 5 44 9 35 9 3546 74 質 詢 日 期 : 104 9 22 質 詢 對 象 : 質

More information

陈玉仙等 一种基于信息融合的新颖电梯调度算法统的电梯调度中存在如下问题 调度系统未能敏捷地反映客流信息的变化 电梯可能出现满载情况 在这种情况下当电梯经过某一外呼楼层时 若不存在对应于该楼层的内呼信号 电梯不应停留 但是 在传统的控制系统中 电梯常常开门候客 从而降低了乘梯效率 多部电梯之间未能准确

陈玉仙等 一种基于信息融合的新颖电梯调度算法统的电梯调度中存在如下问题 调度系统未能敏捷地反映客流信息的变化 电梯可能出现满载情况 在这种情况下当电梯经过某一外呼楼层时 若不存在对应于该楼层的内呼信号 电梯不应停留 但是 在传统的控制系统中 电梯常常开门候客 从而降低了乘梯效率 多部电梯之间未能准确 "%7 %! 计算机工程与科学 "-'.+,$)++,) ; /+/+ 第 7 卷第 期 年 月 1-07%-:+/ 文章编号! 一种基于信息融合的新颖电梯调度算法 陈玉仙 罗三定 长沙航空职业技术学院 湖南长沙 中南大学信息科学与工程学院 湖南长沙 摘 要 针对传统电梯调度过程中调度策略较为复杂 难以准确适应乘梯客流变化的缺点 提出了一种基于信息融合的电梯调度算法 该算法通过对乘梯客流变化形势的分析

More information

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在 國 二 國 文 範 圍 :B3: 第 二 課 美 猴 王 一 國 字 及 注 音 1. 拱 ㄈㄨˊ 無 違 : 2. 拍 手 稱 ㄧㄤˊ : 3. 詼 ㄒㄧㄝˊ 風 趣 : 4. ㄔㄢˊ 鬥 : 5. 搔 癢 : 6. ㄓㄤ 頭 鼠 目 : 7. 玩 ㄕㄨㄚˇ : 8. 石 竅 : 9. 採 花 ㄇㄧˋ 果 : 10. 長 途 ㄅㄚˊ 涉 : 11. 喜 不 自 勝 : 12. 進 ㄓㄨˋ 水 簾

More information

绝密★启用前

绝密★启用前 绝 密 启 用 前 2010 年 普 通 高 等 学 校 招 生 全 国 统 一 考 试 ( 上 海 卷 ) 地 理 试 卷 一 选 择 题 ( 共 50 分, 每 小 题 2 分 每 小 题 只 有 一 个 正 确 答 案 ) ( 一 ) 城 市, 让 生 活 更 美 好, 城 市 空 间 结 构 与 城 市 职 能 自 然 环 境 密 切 相 关 1. 不 同 的 城 市 职 能 具 有 不 同

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w Ver.1.4 CL-S10w CL-500A CL-200/CL-200A Excel Excel Windows Excel Windows Windows 7 Microsoft Windows 7 Professional Operating System Windows Windows 8.1 Microsoft Windows

More information

梁 家 傑 議 員, SC 梁 國 雄 議 員 陳 偉 業 議 員 黃 毓 民 議 員 毛 孟 靜 議 員 田 北 辰 議 員, BBS, JP 田 北 俊 議 員, GBS, JP 吳 亮 星 議 員, SBS, JP 何 俊 賢 議 員, BBS 易 志 明 議 員, JP 胡 志 偉 議 員,

梁 家 傑 議 員, SC 梁 國 雄 議 員 陳 偉 業 議 員 黃 毓 民 議 員 毛 孟 靜 議 員 田 北 辰 議 員, BBS, JP 田 北 俊 議 員, GBS, JP 吳 亮 星 議 員, SBS, JP 何 俊 賢 議 員, BBS 易 志 明 議 員, JP 胡 志 偉 議 員, 立 法 會 Legislative Council 立 法 會 FC219/15-16 號 文 件 ( 此 份 會 議 紀 要 業 經 政 府 當 局 審 閱 ) 檔 號 :FC/1/1(12) 立 法 會 財 務 委 員 會 第 二 十 次 會 議 紀 要 日 期 : 2016 年 1 月 8 日 ( 星 期 五 ) 時 間 : 下 午 5 時 30 分 地 點 : 立 法 會 綜 合 大 樓 會

More information

WT210/230数字功率计简易操作手册

WT210/230数字功率计简易操作手册 T0/0 数 字 功 率 计 操 作 手 册 I 040-0 第 版 目 录 第 章 第 章 第 章 功 能 说 明 与 数 字 显 示. 系 统 构 成 和 结 构 图... -. 数 字 / 字 符 初 始 菜 单... -. 测 量 期 间 的 自 动 量 程 监 视 器 量 程 溢 出 和 错 误 提 示... - 开 始 操 作 之 前. 连 接 直 接 输 入 时 的 测 量 回 路...

More information

!"!"!"# # $! $!%%& ( )*+, ( - %& - %"./!. - &! " # $ 0("1! 2)3!%%& %.//(( %"% - 4&%.&.55 & 5%% - 5"% - %.15 "%%%"" 6778 # # 999 6:8 :;< => %"% -

!!!# # $! $!%%& ( )*+, ( - %& - %./!. - &!  # $ 0(1! 2)3!%%& %.//(( %% - 4&%.&.55 & 5%% - 5% - %.15 %%% 6778 # # 999 6:8 :;< => %% - !" !"!"!"# # $! $!%%& ( )*+, ( - %& - %"./!. - &! " # - - - $ 0("1! 2)3!%%& %.//(( %"% - 4&%.&.55 & 5%% - 5"% - %.15 "%%%"" 6778 # # 999 6:8 :;< => %"% - 5!%!5511 6778 # # 999 6:8 =?@ =>!%%! 5 " (5( A

More information

zt

zt ! "!# " $! " %# " #& " && " ( "!)$ "!!# "!$! "!%$ "!#$ "!*# "!! "!($ " +)& " ++! " +$( " +#$ " +*& " +&( " !"#$!"%& ()!"## ()!""* !!"# $%& () *%+,&-./!"# 0.1)2 + 3"%4/!"# 5).++.( 6)2"( 7889 : 77 9;;< !

More information

1

1 第 7 章 債 券 市 場 即 席 思 考 7.1 您 認 為 在 何 種 環 境 下, 會 提 高 企 業 發 行 浮 動 利 率 債 券 的 誘 因? 試 舉 例 之 思 考 方 向 : 利 率 下 跌 的 環 境 您 認 為 附 認 股 權 公 司 債 與 可 轉 換 公 司 債 有 何 異 同? 思 考 方 向 : 都 具 有 買 權 的 性 質, 惟 附 認 股 權 公 司 債 中 的 認

More information

untitled

untitled 1 2012 2 2011 10 18, ( 2011 17 ) 2013 1 1 2004 [2004]2 2012 3 2012 4 [2011]300 1000 40000 3002000 20 30020 300 300 20000 50500 10 10010 100 2012 5 2012 6 1 1. 2. GB/T4754-2011 3. 1 2 3 2001 11 27 33 2012

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

1 范围

1 范围 ICS 93.080.30 R 80 GB 14887 2003 GB14887-1994 Road traffic signals 2003-05-23 2003-09-01 ... II 1... 1 2... 1 3... 1 4... 2 5... 2 6... 9 7... 13 8... 16 9... 16 A... 17 B... 20 C... 21 I 1 2 3 6 5.2.7

More information