B3B2B1B0 CA CB CC CD CE CF CG

Size: px
Start display at page:

Download "B3B2B1B0 CA CB CC CD CE CF CG"

Transcription

1 Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch 模組 ( 叫用 Seven_Segmenet.vhd decoder2to4.sch and Adder4bits.sch) 設計一個 HEX-to-seven-segment decoder 並將 Adder4bits 資料透過 decoder 顯示到七節燈管上 開啟此專案所需的 Test Bench(.tbw) 檔案, 並且利用 ISE12.4 的軟體完成整個設計流程, 同時利用 ISE12.4 的模擬功能來驗證結果的正確性! 此設計同時利用 Seven_Segment_Adder.ucf 來設定 I/O 腳的位置, 利用 Digilent Adept 軟體來燒錄到 FPGA 模擬板中, 驗證其設計結果是否正確 [ 實驗目地 ] : 當你完成整個專案之後, 你將學會以下的功能 (1). 利用 ISE12.4 的軟體開啟一個 Spartan3E FPGA 的專案. (2) 利用 Schematic 快速建立 7 段顯示器控制模組電路 (3). 使用電路元件來進行階層式模組化設計 (4). 產生測試型樣 Test Bench(.tbw) 來模擬你的設計. (5). 加入系統所需的 Constraints 檔案.(UCF file) (6). 完成整個設計流程. 並產生 Seven_Segmenet_Adder.bit 檔案 (7). 利用 FPGA Editor 來察看 FPGA 內部的結果, (8). 利用 Digilent Adept 來燒錄 Seven_Segmenet_Adder.bit 檔案到 FPGA [ 系統設計說明 ] --HEX-to-seven-segment decoder -- HEX: in, (HEX3 HEX2 HEX1 HEX0) -- Segment_out: out (CA CB CG); -- Enable: AN0 AN1 AN2 AN3(SWEEP) Binary(B3B2B1B0) 7Seg Display(Seg1) 共陽極 Seg1 need CA CB CC CD CE CF CG

2 B3B2B1B0 CA CB CC CD CE CF CG CA=IN1+IN4+IN11+IN13 CB=IN5+IN6+IN11+IN12+IN14+IN15 CC=IN2+IN12+IN14+IN15 CD= IN1+IN4+IN7+IN10+IN15 CE= IN1+IN3+IN4+IN5+IN7+IN9 CF= IN1+IN2+IN3+IN7+IN13 CG= IN0+IN1+IN7+IN12 IN1=0001, IN2=0010 以上使用 Schematic 非常複雜 S1 S0 AN0 AN1 AN2 AN3 7Seg AN0=S1+S0=(S1 S0 ), AN1=S1+S0, AN2=S1 +S0, AN3=S1 +S0 =>Lab1:decoder2to4 (enable: E=0)

3 使用階層式設計叫用 Seven_Ssegment.vhd 模組, 可減少設計複雜度 [Seven_Segmenet.vhd] library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. entity Seven_Ssegment is Port ( HEX : in std_logic_vector(3 downto 0); Segment_out : out STD_LOGIC_VECTOR (6 downto 0) ); end Seven_Ssegment; architecture Behavioral of Seven_Ssegment is begin with HEX SELect Segment_out<= " " when "0001", --1 " " when "0010", --2 " " when "0011", --3 " " when "0100", --4 " " when "0101", --5 " " when "0110", --6 " " when "0111", --7 " " when "1000", --8 " " when "1001", --9 " " when "1010", --A " " when "1011", --b " " when "1100", --C " " when "1101", --d " " when "1110", --E " " when "1111", --F " " when others; --0 end Behavioral;

4 [ 操作步驟 ]: (1) 開啟 ISE12.4 軟體, 開啟一個新專案 : [File] [New Project]->Lab7 (C:\Xilinx\projectB\Lab7) (1) -2. 設定硬體元件的參數 [ 元件系列 (Device Family)]:Spartan3E ( 請參考 Basys2_rm.pdf) [ 元件名稱 (Device)] : Spartan3E-100E ( 請參考 Basys2_rm.pdf) [ 元件包裝 (Package)]:CP 132( 請參考 Basys2_rm.pdf) [ 元件速度等級 (Speed)]: -5 ( FPGA 速度等級 ) [ 合成器工具 (Synthesis Tool)]:XST VHDL(VHDL 合成器的種類 ) [ 模擬器 (Simulator)] : ISE Simulator(ISE12.4 內建的模擬器 ) [ 產生模擬器的語言 (Generated Simulation Language)] : VHDL

5 (1)-3. 出現專案所有設定訊息, 若需重新設定, 則可 [back]( 上一步 ) 若無誤, 則按 [Finish]( 完成 ) (2)Design Entry: 加入新設計檔案 : [Project] [New Source]-> Schematic ->File name: Seven_Segment_Test [Save] Seven_Segment_Test.sch 加入 Seven_Ssegment VHDL Source: [Project] [Add Copy of Source]-> Seven_Segment.vhd (From 網路大學 copy to 桌面 Seven_Ssegment_vhd.txt Seven_Ssegment.vhd)

6

7

8 (3)Synthesis & Implement Design: [Process]->(double click) Implement Design<Two green Check>

9 (4)Simulation( 模擬 ) with Testbench Testbench :[Project] [New Source] [VHDL Test Bench] Filename= Seven_Segment_Test_tb [Select Source] Seven_Segment_Test

10 PROCESS BEGIN HEX(0)<='0'; WAIT for 50ns; HEX(0)<='1'; WAIT for 50ns; END PROCESS; PROCESS BEGIN HEX(1)<='0'; WAIT for 100ns; HEX(1)<='1'; WAIT for 100ns; END PROCESS; PROCESS BEGIN HEX(2)<='0'; WAIT for 200ns; HEX(2)<='1'; WAIT for 200ns; END PROCESS; PROCESS BEGIN HEX(3)<='0'; WAIT for 400ns; HEX(3)<='1'; WAIT for 400ns; END PROCESS; (4)-2. Simulation: [Design]->view: Simulation [ISim Simulator] (double click) [Behavioral Check Syntax]-> (double click) [Simulate Behavioral Model]->Zoom to Full View->Check is O.K.?

11 (5) 設定 I/O 腳位置, 利用 Seven_Segment.UCF 來設定 I/O 腳的位置, 以得到正確輸出檔案. [Project] [New Source]-> [Implementation Constraints File] ->File Name: Seven_Segment_Test (.ucf) -> Edit ->[Save] -> Generate Programming File NET "HEX<3>" LOC = "B4"; # Bank = 3, Signal name = SW3 NET "HEX<2>" LOC = "K3"; # Bank = 3, Signal name = SW2 NET "HEX<1>" LOC = "L3"; # Bank = 3, Signal name = SW1 NET "HEX<0>" LOC = "P11"; # Bank = 2, Signal name = SW0 NET "Segment_out<0>" LOC = "L14"; # Bank = 1, Signal name = CA NET "Segment_out<1>" LOC = "H12"; # Bank = 1, Signal name = CB NET "Segment_out<2>" LOC = "N14"; # Bank = 1, Signal name = CC NET "Segment_out<3>" LOC = "N11"; # Bank = 2, Signal name = CD NET "Segment_out<4>" LOC = "P12"; # Bank = 2, Signal name = CE NET "Segment_out<5>" LOC = "L13"; # Bank = 1, Signal name = CF NET "Segment_out<6>" LOC = "M12"; # Bank = 1, Signal name = CG NET "AN3" LOC = "K14"; # Bank = 1, Signal name = AN3 NET "AN2" LOC = "M13"; # Bank = 1, Signal name = AN2 NET "AN1" LOC = "J12"; # Bank = 1, Signal name = AN1 NET "AN0" LOC = "F12"; # Bank = 1, Signal name = AN0

12 (6) 下載與驗證 : 連接實驗板 (Digilent USB Cable)-> 連接到 USB -> 發展板 switch on-> [Start]->digilent->Adept->Program-> 實驗板操作驗證 (Seven_Segment_Test.bit)

13 Lab7_2:7 段顯示器控制電路 +2:4 解碼器與四位元加法器電路 (Add copy of source) Design Entry: 加入新設計檔案 : [Project] [New Source]-> Schematic ->File name: Seven_Segment_Adder [Save]

14 Add copy of source

15

16 Create Schematic Symbols: decoder2to4 Adder4bits Seven_Segment Seven_Segment_Adder->Set as Top Module->Symbols 引用元件

17

18 Seven_Segment_Adder.ucf NET "B3" LOC = "N3"; # Signal name = SW7 NET "B2" LOC = "E2"; # Signal name = SW6 NET "B1" LOC = "F3"; # Signal name = SW5 NET "B0" LOC = "G3"; # Signal name = SW4 NET "A3" LOC = "B4"; # Signal name = SW3 NET "A2" LOC = "K3"; # Signal name = SW2 NET "A1" LOC = "L3"; # Signal name = SW1 NET "A0" LOC = "P11"; # Signal name = SW0 NET "P0" LOC = "G12"; # Signal name = BTN0 NET "P1" LOC = "C11"; # Signal name = BTN1

19 NET "Segment_out<0>" LOC = "L14"; # Bank = 1, Signal name = CA NET "Segment_out<1>" LOC = "H12"; # Bank = 1, Signal name = CB NET "Segment_out<2>" LOC = "N14"; # Bank = 1, Signal name = CC NET "Segment_out<3>" LOC = "N11"; # Bank = 2, Signal name = CD NET "Segment_out<4>" LOC = "P12"; # Bank = 2, Signal name = CE NET "Segment_out<5>" LOC = "L13"; # Bank = 1, Signal name = CF NET "Segment_out<6>" LOC = "M12"; # Bank = 1, Signal name = CG NET "AN0" LOC = "F12"; # Bank = 1, Signal name = AN0 NET "AN1" LOC = "J12"; # Bank = 1, Signal name = AN1 NET "AN2" LOC = "M13"; # Bank = 1, Signal name = AN2 NET "AN3" LOC = "K14"; # Bank = 1, Signal name = AN3 NET "LD0" LOC = "M5" ; # Bank = 2, Signal name = LD0 (6) 下載與驗證 : 連接實驗板 (Digilent USB Cable)-> 連接到 USB -> 發展板 switch on-> [Start]->digilent->Adept->Program-> 實驗板操作驗證 (Adder4bit_Seven.bit)

B3B2B1B CA CB CC CD CE CF CG

B3B2B1B CA CB CC CD CE CF CG Lab6:7 段顯示器控制電路 [ 實驗說明 ] : 使用 ISE12.4 使用 VHDL 來設計 7 段顯示器控制電路 在這一個範例中, 你將利用 Seven_Segmenet.VHD 設計一個 HEX-to-seven-segment decoder 並且將資料透過 decoder 顯示到七節燈管上 開啟此專案所需 Test Bench(.tbw) 檔案, 並且利用 ISE12.4 的軟體完成整個設計流程,

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

(Microsoft Word - 103\300\347\267~\266\265\245\330\245N\275X)

(Microsoft Word - 103\300\347\267~\266\265\245\330\245N\275X) A 大 農 林 漁 牧 業 一 分 說 明 1. 獸 醫 業 非 屬 公 司 法 商 業 登 記 法 所 管 轄, 不 納 入 本 大 2. 各 依 性 質 包 括 其 從 事 業 務 產 品 之 批 發 零 售 二 分 示 意 圖 大 中 小 A A1 農 業 A101 農 藝 及 園 藝 A101011 種 苗 業 業 A101020 農 作 物 栽 培 業 A101030 特 用 作 物 栽

More information

0 2 7 3 4 6 7 9 8 10 2 9 3 4 5 6 7 3 4 5 6 7 10 2 3 4 6 7 9 10 10 3 4 5 7 10 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 1 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 2 1. 2.

More information

小班上学期课程

小班上学期课程 1 2 3 4 5 1 1 2 2 3 3 4 4 5 5 6 6 7 7 8 8 9 9 10 10 6 7 8 9 10 11 12 13 : 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

南 京 信 息 工 程 大 学 滨 江 学 院 十 三 五 发 展 规 划 目 录 十 二 五 月 期 间 发 展 状 况 ( 一 ) 主 要 成 就 1. 社 会 声 誉 与 日 俱 增 2. 管 理 体 系 不 断 完 善 3. 师 资 队 伍 建 设 初 见 成 效 4. 专 业 建 设 进

南 京 信 息 工 程 大 学 滨 江 学 院 十 三 五 发 展 规 划 目 录 十 二 五 月 期 间 发 展 状 况 ( 一 ) 主 要 成 就 1. 社 会 声 誉 与 日 俱 增 2. 管 理 体 系 不 断 完 善 3. 师 资 队 伍 建 设 初 见 成 效 4. 专 业 建 设 进 2.3 教 师 专 业 发 展 本 专 业 十 分 重 视 教 师 专 业 发 展 在 师 资 队 伍 建 设 规 划 师 资 队 伍 建 设 举 措 青 年 教 师 的 引 进 与 培 养 以 及 教 师 发 展 中 心 建 设 等 方 面 开 展 了 卓 有 成 效 的 工 作 目 前 为 止 己 经 建 成 一 支 具 有 实 践 见 长 适 应 独 立 学 院 特 点 的 能 满 足 技 术

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

2371 O O ............................. 2............................. 3.................................... 4.................................... 8.................................... 10....................................

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

Microsoft Word - 第二次香港培?班新?稿_reduced_

Microsoft Word - 第二次香港培?班新?稿_reduced_ 香 港 委 员 会 成 功 培 养 首 100 位 GBL Manager 2011 年 11 月 6 日, 由 中 国 城 市 科 学 研 究 会 城 科 会 绿 色 建 筑 与 节 能 专 业 委 员 会 城 科 会 绿 色 建 筑 研 究 中 心 和 中 国 绿 色 建 筑 与 节 能 ( 香 港 ) 委 员 会 共 同 举 办 的 第 二 期 绿 色 建 筑 宣 贯 培 训 香 港 特 区

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

冷熱衝擊試驗機、溫度控制器

冷熱衝擊試驗機、溫度控制器 冷 熱 衝 擊 試 驗 控 制 器 U-8226S-ACCU1 簡 易 操 作 說 明 書 為 正 確 使 用 本 產 品, 使 用 前 務 必 先 詳 讀 本 說 明 書 為 必 要 時 方 便 使 用, 請 將 本 書 置 於 易 取 之 處, 並 妥 善 保 管 応 用 電 子 工 業 株 式 会 社 索 引 ( 一 ) 前 言 P.2 ( 二 ) 概 要 P.3 2-1. SYSTEM 構

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

(Microsoft PowerPoint - UML\302\262\244\266_use case.ppt)

(Microsoft PowerPoint - UML\302\262\244\266_use case.ppt) UML 簡 介 _Use Case Diagram 資 訊 科 技 系 林 偉 川 UML 簡 介 2 1 UML UML 是 Unified Modeling Language 的 縮 寫, 中 文 翻 譯 為 統 一 塑 模 語 言 UML 統 合 了 物 件 導 向 方 法 論 之 各 派 不 同 的 方 法, 提 供 了 一 致 性 的 圖 形 語 言 做 為 開 發 系 統 的 溝 通 媒

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

保母人員丙級應檢資料第二部份 doc

保母人員丙級應檢資料第二部份 doc 15400903018 9 09 15 95 01 10 95 11 16 ...-3...4-9... 10...11-1...13-16...17-54... 55...56-64 1 5 3 154-90301154-9030 1 1 3 1 4 60 1 180 L 5 1 6 1 7 1 8 1 9 90 70 1 10 1 11 1 1 1 13 1 14 1 15 1 16 1 17

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

!""# $!""%!"&" #

!# $!%!& # !""# $!""%!"&" # !""# +!""(!""#!""$!""$ %"" &!""$ &( ) %# )"# )!!""#!""$!""#!""$ )*"!! !"#" $ % !" #$$%! #" #$$%& " #$$(!% %" #$$(#! (" #$$)#& )" #$$) # *" #$$)%$ &" #$$)%% +" #$$)%&!$" #$$)(#!" #$$%(&

More information

麻 省 理 工 學 院 是 在 西 元 2013 年 12 月 3 日 推 出 MIT App Inventor 2 網 站, 提 供 免 費 的 雲 端 服 務, 使 用 者 可 以 透 過 瀏 覽 器 來 開 發 Android 裝 置 應 用 程 式, 該 網 站 的 網 址 為 : http

麻 省 理 工 學 院 是 在 西 元 2013 年 12 月 3 日 推 出 MIT App Inventor 2 網 站, 提 供 免 費 的 雲 端 服 務, 使 用 者 可 以 透 過 瀏 覽 器 來 開 發 Android 裝 置 應 用 程 式, 該 網 站 的 網 址 為 : http 資 訊 學 科 中 心 6 月 份 電 子 報 用 MIT App Inventor2 程 式 拼 圖 來 開 發 Android 裝 置 應 用 程 式 李 啟 龍 學 習 Android 裝 置 程 式 設 計, 可 以 不 必 學 習 較 為 艱 澀 的 Java 語 法, 只 要 使 用 拼 圖 模 式 來 組 合 程 式, 就 可 以 完 成 Android 裝 置 的 應 用 程 式 MIT

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

标题,黑体18号

标题,黑体18号 从 商 业 用 地 供 应 看 各 城 市 商 业 地 产 市 场 泡 沫 VIEW 近 几 年, 房 地 产 商 涉 足 商 业 地 产 领 域 的 现 象 越 来 越 普 遍, 包 括 万 科 龙 湖 招 商 等 典 型 房 企 先 后 专 门 设 立 了 商 业 地 产 管 理 部 门, 并 逐 步 加 大 了 对 商 业 地 产 的 投 入 比 例 放 眼 全 国 重 点 城 市, 短 短

More information

Microsoft Word - HSK使用手册.doc

Microsoft Word - HSK使用手册.doc HSK / New HSK Online Mock Test/Practices Student User Manual Table of contents New User... 2 1.1 Register... 2 1.2 Login... 3 1.3 Homepage... 4 Free Test... 4 2.1 Start... 5 2.2 Results... 6 Mock Test...

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Microsoft Word - 鄂卫办函[2009]64号.doc

Microsoft Word - 鄂卫办函[2009]64号.doc 湖 北 省 卫 生 厅 鄂 卫 办 函 2009 64 号 省 卫 生 厅 关 于 建 立 湖 北 省 职 业 卫 生 技 术 评 审 专 家 库 的 通 知 各 市 州 直 管 市 林 区 卫 生 局, 部 省 属 医 疗 卫 生 单 位, 各 有 关 大 专 院 校, 有 关 科 研 企 事 业 单 位 : 为 加 强 我 省 职 业 病 防 治 技 术 队 伍 建 设, 推 进 全 省 职 业

More information

全宋词1

全宋词1 ( ) ...1...1...1...2...2...2...3...4...4...4...4...5...5...5...6...6...7...7...7...8...8...9...9 1 ... 10... 10... 11... 11... 11... 11... 12... 13... 13... 14... 14... 14... 14... 15... 16... 16... 16...

More information

& ((& ) ((

& ((& ) (( & ( ) * * & + & ((& ) (( 1 * & /1 * & ( 1 0 ; * & ) 0 ) & * * +, & - / & & ) * (1 ( & 2 & 3 * +1 * &,1 * +1,1 & 1 & /1 ) 1 0 & 0 + 0, 0 / +1 & 0 & 2 *,1 0 & & 2 * 0 * ) ) 0 ) * 1 0 & ) 2 * /1 & 0 ) 2 *

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

PowerPoint Presentation

PowerPoint Presentation TOEFL Practice Online User Guide Revised September 2009 In This Guide General Tips for Using TOEFL Practice Online Directions for New Users Directions for Returning Users 2 General Tips To use TOEFL Practice

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

Microsoft Word - 3D手册2.doc

Microsoft Word - 3D手册2.doc 第 一 章 BLOCK 前 处 理 本 章 纲 要 : 1. BLOCK 前 处 理 1.1. 创 建 新 作 业 1.2. 设 定 模 拟 控 制 参 数 1.3. 输 入 对 象 数 据 1.4. 视 图 操 作 1.5. 选 择 点 1.6. 其 他 显 示 窗 口 图 标 钮 1.7. 保 存 作 业 1.8. 退 出 DEFORMTM3D 1 1. BLOCK 前 处 理 1.1. 创 建

More information

untitled

untitled 立 法 會 CB(2)2292/04-05(01) 號 文 件 ( 立 法 會 秘 書 處 撮 譯 本, 只 供 參 考 用 ) ( 香 港 律 師 會 用 箋 ) 民 政 事 務 局 許 鄔 芸 芸 女 士 : 閣 下 2005 年 7 月 5 日 來 函 收 悉 2005 年 收 入 ( 取 消 遺 產 稅 ) 條 例 草 案 律 師 會 遺 產 事 務 委 員 會 研 究 了 政 府 當 局

More information

《美国名将全传——德怀特·戴维·艾森豪威尔》

《美国名将全传——德怀特·戴维·艾森豪威尔》 !! " !! "#$%& ( #)*%+,%-./ 0$.1 "2345625627824946:6;3 " " < = > " " " = = " "!! "; "247; =? 2477 9 @ A "B C=B C=B C=B C A " D @ A (.(! "#$% "% "& ( ( ) ) * + )! ) ) )",-. ) ) ) ) ) ) "### ) "% ) ( ( )

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( Nvidia nforce430)

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

CDMA扫频仪测试说明

CDMA扫频仪测试说明 PCTEL CDMA 扫 频 仪 测 试 指 导 书 珠 海 世 纪 鼎 利 通 信 科 技 股 份 有 限 公 司 Copyright Dingli Commnunications Inc.,All rights reserved 版 权 所 有, 侵 权 必 究 1 目 录 一 PCTEL CDMA 扫 频 仪 介 绍... 3 二 测 试 前 的 准 备 工 作 ( 扫 频 仪 硬 件 连 接

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

Microsoft Word - 三峽鎮衛生所_3_-張家宸.李永繁.doc

Microsoft Word - 三峽鎮衛生所_3_-張家宸.李永繁.doc 暑 期 社 區 醫 學 見 習 報 告 見 習 單 位 : 台 北 縣 三 峽 鎮 衛 生 所 見 習 日 期 :8/22~8/26 見 習 組 員 姓 名 ( 學 號 ):491940011 張 家 宸 491940499 李 永 繁 一 前 言 : 衛 生 所 是 推 行 公 共 衛 生 的 第 一 線, 也 是 最 基 層 的 醫 療 照 護 機 構, 尤 其 是 在 一 些 偏 遠 的 地

More information

Microsoft Word - 武漢大學交流營心得_黃莉云_.doc

Microsoft Word - 武漢大學交流營心得_黃莉云_.doc 武 漢 大 學 交 流 營 心 得 貿 三 丙 黃 莉 云 2010.7.11 懷 著 期 待 又 有 點 害 怕 的 心 情 踏 上 往 大 陸 的 旅 程, 這 是 我 人 生 頭 一 次 到 大 陸 去, 因 此 對 於 即 將 遇 上 的 事 物 和 人, 都 抱 著 非 常 好 奇 和 冒 險 的 心 態, 加 上 說 明 會 得 知 我 們 是 要 自 己 搭 飛 機 過 去 到 武 漢

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

HLA-B27軟體

HLA-B27軟體 HLA-B27 HLA-B27 CaliBRITE Beads FACSComp HLA-B27 Calibration Beads HLA-B27 HLA-B27 1. HLA-B27 1.1 HLA-B27 HLA Major Histocompatibity Complex MHC HLA HLA-A -B -C HLA HLA-D/DR -DP -DQ B HLA HLA HLA HLA-B27

More information

信息

信息 信 息 与 算 机 工 院 算 机 科 与 技 术 人 才 培 养 方 案 ( 代 码 :080901) 一 培 养 目 标 培 养 适 应 国 家 建 设 发 展 需 要, 德 智 体 全 面 发 展, 具 有 良 好 的 科 素 养 和 丰 厚 的 人 文 底 蕴, 掌 握 扎 的 算 机 科 核 心 基 础 理 论 知 识 和 主 流 工 技 术, 了 解 本 的 前 沿 发 展 现 状 和

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

untitled

untitled 2016 160 8 14 8:00 14:00 1 http://zj.sceea.cn www.sceea.cn APP 1 190 180 2 2 6 6 8 15 2016 2016 8 13 3 2016 2016 2016 0382 2 06 1 3300 14 1 3300 0451 5 01 2 7500 02 2 7500 05 ( ) 1 7500 1156 4 15 2 15000

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

QNAP Storage Product Spare Part Price List (Enterprise/SMB) -- Feburary 2011 Segment Model Name Base Assem Base Assem Screw Pack (2.5") Screw Pack (2.

QNAP Storage Product Spare Part Price List (Enterprise/SMB) -- Feburary 2011 Segment Model Name Base Assem Base Assem Screw Pack (2.5) Screw Pack (2. QNAP Storage Product Spare Part Price List (Enterprise/SMB) -- Feburary 2011 Segment Model Name Main Main Back Plane Back Plane LED LED HDD Tray HDD Tray (@US$ Top Cover Top Cover TS-859U-RP+ 70-0QU382211

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

基于ECO的UML模型驱动的数据库应用开发1.doc

基于ECO的UML模型驱动的数据库应用开发1.doc ECO UML () Object RDBMS Mapping.Net Framework Java C# RAD DataSetOleDbConnection DataGrod RAD Client/Server RAD RAD DataReader["Spell"].ToString() AObj.XXX bug sql UML OR Mapping RAD Lazy load round trip

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

Windows 2000 Server for T100

Windows 2000 Server for T100 2 1 Windows 95/98 Windows 2000 3.5 Windows NT Server 4.0 2 Windows DOS 3.5 T200 2002 RAID RAID RAID 5.1 Windows 2000 Server T200 2002 Windows 2000 Server Windows 2000 Server Windows 2000 Server 3.5 for

More information

第 十 明 會 教 始 終 第 一 明 依 教 分 宗 者 夫 如 來 成 道 體 應 真 源 理 事 二 門 一 多 相 徹 智 境 圓 寂 何 法 不 周 只 為 器 有 差 殊 軌 儀 各 異 始 終 漸 頓 隨 根 不 同 設 法 應 宜 大 小 全 別 時 分 因 果 延 促 不 同 化

第 十 明 會 教 始 終 第 一 明 依 教 分 宗 者 夫 如 來 成 道 體 應 真 源 理 事 二 門 一 多 相 徹 智 境 圓 寂 何 法 不 周 只 為 器 有 差 殊 軌 儀 各 異 始 終 漸 頓 隨 根 不 同 設 法 應 宜 大 小 全 別 時 分 因 果 延 促 不 同 化 修 訂 日 期 : 2005/04/24 發 行 日 期 : 2006/2/2 發 行 單 位 : 中 華 電 子 佛 典 協 會 (CBETA) http://www.cbeta.org 資 料 底 本 : 大 正 新 脩 大 正 藏 經 Vol. 36, No. 1739 原 始 資 料 : 蕭 鎮 國 大 德 提 供, 維 習 安 大 德 提 供 之 高 麗 藏 CD 經 文, 北 美 某 大

More information

ansoft_setup21.doc

ansoft_setup21.doc Cadence Cadence Cadence 1000 (1) (2) CIC (3).. CIC Cadence (a) CIC license license server license CIC license CIC license (b) 2000 Cadence license 92 1 1 license server CIC 92 1 1 Cadence license licenser

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

Microsoft Word - 100-05-23--養生與保健_中山大學_講義

Microsoft Word - 100-05-23--養生與保健_中山大學_講義 高 雄 市 立 中 醫 醫 院 張 志 浩 醫 師 皮 膚 失 去 彈 性, 變 粗 變 乾 燥, 頭 髮 變 白, 毛 髮 稀 落, 老 人 班, 魚 尾 紋, 眼 袋 突 出 視 力 模 糊, 老 花 眼, 白 內 障 鈣 質 流 失, 腰 酸 背 痛, 骨 質 疏 鬆, 易 骨 折 記 憶 力 降 低, 精 神 不 集 中, 易 怒, 神 經 質, 焦 慮 不 安, 難 入 睡 嗅 覺 改 變

More information

1931 9 18,, 4 1933 1 1, 2 21, 1937 7 7,,,, 14, 3500, 2000 1235, 913,,,,,,, 1500, 293. 6 1946,,, 376. 6,, 895714, 3%, 1610883, 5 %, 126,,,,,, 3176123,, 153800, 484899, 354468, 976125, 895714, 239387, 71730,

More information

萬里社區老人健康照護手冊

萬里社區老人健康照護手冊 萬 里 社 區 老 人 健 康 照 護 手 冊 1. 心 肺 功 能 的 照 護 a. 每 日 運 動 至 少 30 分 鐘 ( 包 括 熱 身 運 動 ), 運 動 強 度 是 呼 吸 輕 微 增 加, 但 仍 可 互 相 交 談 不 會 有 胸 痛 氣 喘 等 狀 況 發 生, 運 動 有 流 汗 的 情 況 即 表 示 達 到 功 效, 比 較 適 當 的 運 動 包 括 打 太 極 拳 步

More information

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法1000830.doc

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法1000830.doc 法 規 名 稱 : 強 制 汽 車 責 任 保 險 承 保 及 理 賠 作 業 處 理 辦 法 修 正 日 期 : 民 國 100 年 08 月 30 日 第 一 章 總 則 第 1 條 本 辦 法 依 強 制 汽 車 責 任 保 險 法 ( 以 下 簡 稱 本 法 ) 第 四 十 六 條 規 定 訂 之 第 2 條 強 制 汽 車 責 任 保 險 證 有 關 被 保 險 汽 車 之 記 載 事 項,

More information

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc 大 家 好 今 天 很 高 兴 有 机 会 跟 各 位 探 讨 一 个 题 目 叫 做 认 识 怀 孕 与 生 产 孩 子 是 上 天 赏 赐 给 我 们 的 一 个 礼 物 现 在 怀 孕 的 妈 妈 都 已 经 拿 到 这 个 礼 物 了 而 且 可 能 都 感 觉 到 里 面 活 蹦 乱 跳 每 一 个 妈 妈 在 怀 孕 的 时 候 都 希 望 他 的 孩 子 像 图 片 上 一 样 的 是

More information

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日 新 北 市 102 學 年 度 五 年 級 國 語 文 能 力 檢 測 試 卷 五 年 班 座 號 : 姓 名 : 小 朋 友, 這 份 試 卷 共 有 兩 部 分 一 選 擇 題 : 共 32 題 請 依 照 題 意 選 出 答 案, 再 畫 記 在 答 案 卡 上 二 問 答 題 : 共 2 題 請 依 照 題 意 將 回 答 完 整 的 寫 在 答 案 紙 上 (➃)1. 下 列 選 項 中

More information

範本檔

範本檔 1 保 健 強 身 多 吃 香 蕉 雖 然 香 蕉 有 某 些 食 用 方 面 的 限 制, 但 其 豐 富 的 營 養, 在 食 物 治 療 方 面 亦 有 重 要 的 價 值, 以 下 是 香 蕉 食 療 偏 方, 提 供 給 大 家 做 參 考 : 一 治 胃 潰 瘍 : 飯 前 吃 一 根 香 蕉, 一 日 一 次 即 可, 持 續 食 用, 會 有 不 錯 的 功 效 二 防 治 動 脈

More information

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 0562-2833893 24 工 商 银 行 安 徽

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 0562-2833893 24 工 商 银 行 安 徽 附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 1 安 徽 工 商 银 行 安 徽 省 合 肥 包 河 支 行 合 肥 市 宣 城 路 158 号 关 萌 萌 0551-2868032 2 工 商 银 行 安 徽 省 合 肥 宿 州 路 支 行 合 肥 市 宿 州 路 6 号 张 虎 0551-2676596 3

More information

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調 新 北 市 土 城 區 土 城 國 民 小 學 100 學 年 度 午 餐 督 導 第 一 次 會 議 會 議 紀 錄 表 時 間 :100 年 9 月 29 日 中 午 12:40 地 點 : 土 城 國 小 第 二 會 議 室 主 席 : 陳 雨 水 校 長 會 議 紀 錄 : 鍾 君 儀 出 席 人 員 : 陳 雨 水 校 長 林 芥 佑 組 長 蘇 昭 宏 主 任 王 文 姬 主 任 陳 原

More information

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷 五 福 二 國 P1 高 雄 市 立 五 福 國 民 中 學 102 學 年 度 第 2 學 期 2 年 級 第 三 次 段 考 本 國 語 文 學 習 領 域 試 題 卷 ㄧ 國 字 注 音 :( 每 題 一 分, 共 十 二 分 ) 二 年 級 班 座 號 姓 名 1. ㄔ 梟 2. 萬 惡 淵 ㄙㄡˇ 3. 不 容 置 ㄏㄨㄟˋ 4. 口 ㄓㄨ 筆 伐 5. 鬼 迷 心 ㄑㄧㄠˋ 6. ㄅㄛˊ

More information