2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

Size: px
Start display at page:

Download "2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路"

Transcription

1 数字电路与系统设计 EDA 实验 VHDL 设计初步 主讲 : 杨明磊 mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室

2 2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路功能描述 ( 结构体 - Architecture) - 2 -

3 例 2.1 D 触发器的 VHDL 描述 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS -- 端口声明 PORT (CLK, D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ENTITY DFF1; ARCHITECTURE bhv OF DFF1 IS -- 结构体描述 SIGNAL Q1 : STD_LOGIC ; -- 类似于在芯片内部定义一个数据的暂存节点 PROCESS (CLK) -- 进程 IF CLK'EVENT AND CLK = '1' THEN Q1 <= D ; END IF; END PROCESS ; VHDL 电路描述与 设计平台和硬件实现对 象 ( 组合或时序逻辑 ) 无 关 Q <= Q1 ; -- 将内部的暂存数据向端口输出 ( 双横线 -- 是注释符号 ) END ARCHITECTURE bhv; D DFF1 Q CLK

4 例 2.1 中 D 触发器的 VHDL 描述的语言现象说明 2.1 完整 VHDL 程序基本构成 VHDL 设计 VHDL 文件 库和程序包 声明在设计或实体中将用到的常数, 数据类型, 元件及子程序等 实体 (Entities) 声明到其实体及其设计的接口, 即定义本设计的输入 / 出端口 结构体 (Architectures) 定义了实体的实现 即电路功能的具体描述

5 库 (Library) 和程序包 (Package) 库 : 是专门存放预先编译好的程序包的地方, 这样它们就 可以在其它设计中被调用 程序包 : 定义了一组数据类型说明 常量说明 元件说明 和子程序说明 以供其它多个设计实体引用 库 / 程序包就是为了使一组类型说明, 常量说明和子程序说明对多个设计实体都成为可见的而提供的一种结构 它们如同 C 语言中的 *.h 文件, 定义了一些类型说明, 函数一样 - 5 -

6 例如 : 库 (Library) 和程序包 (Package) 格式 : LIBRARY < 设计库名 >; USE < 设计库名 >.< 程序包名 >.ALL; LIBRARY IEEE; -- 打开库 USE IEEE.std_logic_1164.ALL;-- 允许使用库中的所有内容 USE IEEE.std_logic_unsigned.ALL; IEEE 是 IEEE 标准库的标志名, 两个 USE 语句使得以下 设计可使用程序包 std_logic_1164, std_logic_unsigned 中所有 预定义的内容, 如 std_logic,in,out 的定义等 - 6 -

7 库 (Library) 和程序包 (Package) 常用标准库 std 和 ieee 库中的程序包 库名程序包名包中预定义内容 std standard VHDL 类型, 如 bit, bit_vector 默认 work work 打开工程 PROJECT 的文件所在的目录, 用户定义的相关的元件和程序包 ieee std_logic_1164 定义 std_logic, std_logic_vector 等 ieee numeric_std 定义了一组基于 std_logic_1164 中定义的类型 的算术运算符, 如 +, -,SHL,SHR 等 ieee std_logic_arith 定义有符号与无符号类型, 及基于这些类型上的算术运算 ieee std_logic_signed 定义了基于 std_logic 与 std_logic_vector 类型上的有符号的算术运算 ieee std_logic_unsigned 定义了基于 std_logic 与 std_logic_vector 类型上的无符号的算术运算

8 2.2 标准逻辑位数据类型 STD_LOGIC ENTITY mux21 IS PORT (a, b, : IN BIT ; S : IN BIT ; y : OUT BIT ); END ENTITY mux21 ; ENTITY DFF1 IS -- 端口声明 PORT (CLK, D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ENTITY DFF1; BIT 数据类型定义 : TYPE BIT IS('0','1'); 即 BIT 数据类型仅可取值 0 或 1 ; STD_LOGIC 数据类型定义 : TYPE STD_LOGIC IS ('U','X','0','1','Z','W','L','H','-'); 工业标准逻辑类型, 取值 U, X, 0, 1, Z, W, L, H 和 -

9 2.2 标准逻辑位数据类型 STD_LOGIC STD_LOGIC 所定义的 9 种数据的含义是 : U 表示未初始化的 ; X 表示强未知的 ; 0 表示强逻辑 0; 1 表示强逻辑 1; Z 表示高阻态 ; W 表示弱未知的 ; L 表示弱逻辑 0; H 表示弱逻辑 1; - 表示忽略 将信号或其它数据对象定义为 STD_LOGIC 数据类型是非常重要的 使设计者精确地模拟一些未知的和具有高阻态的线路情况 对于大多数综合器, 高阻态 Z 和 - 忽略态 ( 有的综合器对 X ) 可 用于三态的描述 但就目前的综合器而言,STD_LOGIC 型数据能够在数字器件中实现的 只有其中的四种值, 即 'X'( 或 '-') '0' '1' 和 'Z' - 9 -

10 2.3 信号定义和数据对象 类似于一个容器 ARCHITECTURE bhv OF DFF1 IS SIGNAL Q1:STD_LOGIC; 信号 (Signal): SIGNAL 信号名 : 数据类型 := 初始值 ; 信号的使用和定义范围是实体 结构体和程序包, 在进程和子程序的顺序语句中不允许定义信号 ( 只有信号才能把进程外的信息带入进程内部, 或将进程内的信息带出进程 ) 信号赋值语句 : 目标信号名 <= 表达式 ;

11 2.3 信号定义和数据对象 PROCESS(CLK) VARIABLE Q1:STD_LOGIC; 变量 (Variable): VARIABLE 变量名 : 数据类型 := 初始值 ; 在 VHDL 中, 变量是一个局部量, 作为临时的数据存储单元, 只能在进程和子程序的顺序语句中使用 变量定义中的初始值仅对仿真有效, 实际的综合器并不支持设置初始值 变量赋值语句 : 目标变量名 := 表达式 ;

12 2.3 信号定义和数据对象 变量赋值 : VARIABLE 变量名 : 数据类型 := 初始值 ; VARIABLE a, b : STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE x, y : INTEGER RANGE 15 DOWNTO 0; -- 分别定义变量 x 和 y 为整数类型 x := 11; y := 2 + x; a := b; a (0 TO 5) := b(2 TO 7);

13 2.3 信号定义和数据对象 ARCHITECTURE bhv OF DFF1 IS CONSTANT A:STD_LOGIC := 1 ; 常量 (Constant): 常量的定义主要是为了程序更容易阅读和修改 在所有的 VHDL 结构中都可以定义常量, 如实体 结构体 程序包 进程和子程序等 常量是一个恒定不变的值 CONSTANT 常量名 : 数据类型 := 表达式 ;

14 2.4 上升沿检测表式和信号属性函数 EVENT PROCESS (CLK) -- 进程 IF CLK EVENT AND CLK = '1' THEN Q1 <= D ; END IF; END PROCESS ; < 信号名 > EVENT 边沿检测语句 用信号属性函数 EVENT 来测定某信号的跳变边沿, 即

15 2.5 不完整条件语句与时序电路 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS -- DFF 端口声明 PORT (CLK, D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ENTITY DFF1; ARCHITECTURE bhv OF DFF1 IS SIGNAL Q1 : STD_LOGIC ; PROCESS (CLK) -- 进程 IF CLK'EVENT AND CLK = '1' THEN Q1 <= D ; END IF; END PROCESS ; Q <= Q1 ; END ARCHITECTURE bhv; IF 语句表示 : CLK'EVENT AND CLK = '1' Yes? Q1 <= D ; IF 语句中没有利用 ELSE 明确 指出当 IF 语句不满足条件时如何 操作, 即不完整条件语句 No 保持 Q1 的原值不变

16 2.5 不完整条件语句与时序电路 ARCHITECTURE one OF mux21 IS PROCESS (a,b,s) --a,b,s 为敏感信号表 IF s = '0' THEN y <= a ; ELSE y <= b ; END IF; END PROCESS; END ARCHITECTURE one ; IF 语句表示 : No S= 0 Yes y <= b; y <= a; 完整的条件语句只能构成组合逻辑电路

17 2.5 不完整条件语句与时序电路 EDA 软件综合结果 例 a: ENTITY COMP_BAD IS PORT( a1,b1 : IN BIT; q1 : OUT BIT ); END ; ARCHITECTURE one OF COMP_BAD IS PROCESS (a1,b1) IF a1 > b1 THEN q1 <= '1' ; ELSIF a1 < b1 THEN q1 <= '0' ; END IF; -- 未提及当 a1=b1 时,q1 作何操作 END PROCESS ; END ;

18 2.5 不完整条件语句与时序电路 EDA 软件综合结果 例 b: ENTITY COMP_BAD IS PORT( a1,b1 : IN BIT; q1 : OUT BIT ); END ; ARCHITECTURE one OF COMP_BAD IS PROCESS (a1,b1) IF a1 > b1 THEN q1 <= '1' ; ELSE q1 <= '0' ; END IF; END PROCESS ; END ;

19 实现时序电路的 VHDL 不同表述 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS -- DFF 端口声明 PORT (CLK, D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ENTITY DFF1; ARCHITECTURE bhv OF DFF1 IS SIGNAL Q1 : STD_LOGIC ; PROCESS (CLK) -- 进程 IF CLK EVENT AND CLK = '1' THEN Q1 <= D ; END IF; END PROCESS ; Q <= Q1 ; END ARCHITECTURE bhv; CLK 信号定义为 STD_LOGIC 数据类型, 有 9 种取值, 该描述并不 能保证 CLK 是从 0 到 1 的上升沿跳变

20 2.6 实现时序电路的 VHDL 不同表述... PROCESS (CLK) IF CLK EVENT AND (CLK='1') AND (CLK LAST_VALUE='0') THEN Q <= D ; -- 确保 CLK 的变化是一次上升沿的跳变 END IF; END PROCESS ;... PROCESS (CLK) -- 同上 IF CLK='1' AND CLK'LAST_VALUE='0' THEN Q <= D ; END IF; END PROCESS ; CLK LAST_VALUE= 0 为真, 表 示 CLK 在变化之前时刻为 0

21 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF3 IS PORT (CLK,D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF3 IS SIGNAL Q1 : STD_LOGIC; Rising_edge( ) 是 IEEE PROCESS (CLK) 库中 STD_LOGIC_1164 标准 程序包内预定义的函数, 该 IF rising_edge(clk) THEN 函数只能用于标准逻辑位数 Q1 <= D ; 据类型 STD_LOGIC 的信号 END IF; 因此, 在实体前必须打开 END PROCESS ; Q <= Q1 ; STD_LOGIC_1164 程序包 END ;

22 2.6 实现时序电路的 VHDL 不同表述... PROCESS wait until CLK = '1' ; Q <= D ; END PROCESS; 当进程中使用 wait 语句后, 就不必列出敏 感信号表

23 2.6 实现时序电路的 VHDL 不同表述... PROCESS (CLK) IF CLK = '1' THEN Q <= D ; END IF; END PROCESS ; 上升沿触发 DFF 的仿真波形 : 将 CLK 放入敏感信号表中, CLK 边沿的检测由 PROCESS 和 IF 语句结合实现 注 :D 信号并没有在敏感表中 以上几种描述方法的结果都一样

24 2.6 实现时序电路的 VHDL 不同表述... PROCESS (CLK,D) IF CLK = '1' THEN Q <= D ; END IF; END PROCESS ; 电平触发 DFF, 即在 CLK 为高电平 1 时, 输出 Q 随 D 的变化而变化, 而 CLK 为 0 时输出保持不变 注 :D 信号在敏感表中 电平触发 DFF 的仿真波形 :

25 2.6 实现时序电路的 VHDL 不同表述 由以上几个例子可见, 时序电路的建立只能利用进程中的顺序语句来实现 ; 考虑到多数综合器并不理会边沿检测语句中信号的 STD_LOGIC 数据类型, 因此最常用和最通用的边沿检测表达式仍是熟悉的 : clk event and clk = 1 ;

26 2.7 异步时序电路设计 设计如下电路的 VHDL 程序 :. Q1 Q2. DFF1 DFF2-26 -

27 2.7 异步时序电路设计 ARCHITECTURE bhv OF MULTI_DFF IS SIGNAL Q1,Q2 : STD_LOGIC; PRO1: PROCESS (CLK) IF CLK'EVENT AND CLK='1' THEN Q1 <= NOT (Q2 OR A); END IF; END PROCESS ; PRO2:PROCESS (Q1) IF Q1'EVENT AND Q1='1' THEN Q2 <= D; END IF; QQ <= Q2 ; END PROCESS ; END ARCHITECTURE bhv; DFF1 DFF2

28 2 小结 VHDL 库 :Library 语句, 包括 IEEE 库 标准库 STD 工作库 Work 等 ; 程序包 :USE 语句, 如 Std_logic_1164 程序包 Standard 程序包等 ; 数据类型 :Std_logic, 定义值中包括 0 1 Z 和 X ; 数据对象 : 信号 Signal 变量 Variable 常量 Constant; 信号属性 : 信号属性函数 EVENT LAST_VALUE; 时钟检测 : 上升沿检测 Clk event AND Clk= 1 Rising_edge( ) 时序电路 : 不完整条件语句产生时序电路

29 习 题 (Ex-3) 图中所示的是双 2 选 1 多路选择器构成的电路 MUXK, 对于其中 MUX21A, 当 s='0' 和 '1' 时, 分别有 y<='a' 和 y<='b' 试在一个结构体中用两个进程来表达此电路, 每个进程中描述一个 2 选 1 多路选择器 MUX21A

30 习 题 (Ex-4) 图中是一个含有上升沿触发的 D 触发器的时 序电路, 试写出此电路的 VHDL 设计文件

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

Microsoft Word - 2016职称安排修改 -6.22-于.docx

Microsoft Word - 2016职称安排修改 -6.22-于.docx 吉 人 社 办 字 2016 46 号 关 于 印 发 2016 年 吉 林 省 职 称 评 聘 工 作 的 安 排 意 见 的 通 知 各 市 ( 州 ) 长 白 山 管 委 会 县 ( 市 区 ) 人 力 资 源 和 社 会 保 障 局, 省 直 各 单 位 ( 部 门 ) 及 直 属 企 事 业 单 位, 驻 省 中 直 有 关 单 位, 各 评 聘 结 合 改 革 及 试 点 单 位, 省

More information

1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用

1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用 EDA 技术与 VHDL 第 1 章 EDA 技术概述 1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用 1.1 EDA 技术 在 FPGA 上实现 DSP

More information

Microsoft Word - EDA2006_A_Answer

Microsoft Word - EDA2006_A_Answer 大规模数字集成电路设计 试卷 A 标准答案与评分细则 ( 卷面总分 :80 分 ) 一. 名词解释 (2 分 6 题 )( 评分标准 : 给出正确英文的 2 分 / 题, 仅给中文解释 1 分 / 题 ) 1. EDA:Electronic Design Automation 2. FPGA:Field Programmable Gate-Array 3. ASIC:Application Specific

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

RAM的设计

RAM的设计 存储器的设计 寻址存储器 (RAM 和 ROM) ROM 和 RAM 属于通用大规模器件, 一般不需要自行设计, 特别是采用 PLD 器件进行设计时 ; 但是在数字系统中, 有时也需要设计一些小型的存储器件, 用于特定的用途 : 临时存放数据, 构成查表运算等 此类器件的特点为地址与存储内容直接对应, 设计时将输入地址作为给出输出内容的条件 ; RAM 随机存储器 RAM 的用途是存储数据, 其指标为存储容量和字长

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

<4D6963726F736F667420576F7264202D20A8CFA952A6A12DAED1ADB1B8EAAEC62E646F63>

<4D6963726F736F667420576F7264202D20A8CFA952A6A12DAED1ADB1B8EAAEC62E646F63> 102 學年度花蓮縣吉安鄉宜昌國民小學本土教育使命式 成果報告 專題研究主題 吉安三寶之芋見新幸福 隊名 宜昌好鼻師 使命行動家 指導教師 王室媛老師 林軒如老師 蘇倖儀老師 壹 主 要 目 標 一 研 究 動 機 : 宜 昌 國 小 位 於 花 蓮 縣 吉 安 鄉, 離 花 蓮 市 很 近, 卻 是 個 農 業 鄉 鎮, 整 個 吉 安 鄉 的 範 圍 相 當 廣, 一 開 始 大 家 在 思 考

More information

4.1 VHDL VHDL 4-1 a b & c 4-1 2

4.1 VHDL VHDL 4-1 a b & c 4-1 2 4.1 VHDL 4.2 VHDL 4.3 VHDL 4.4 VHDL 4.5 1 4.1 VHDL 4.1.1 VHDL 4-1 a b & c 4-1 2 ( 4-1 ) (1) a b c ( 1 ) (2) c=a b CPU VHDL 3 VHDL 4-2 a b & c a c b c a b 4-2 VHDL 4 1 ENTITY IS d0 & 1 q END d1 & sel 1

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

Microsoft Word - ??山

Microsoft Word - ??山 没 药 山 要 宣 告 耶 和 华 的 名, 你 们 要 将 大 德 归 于 我 们 的 神! 你 当 追 想 上 古 之 日, 思 念 历 代 之 年 问 你 的 父 亲, 他 必 指 示 你 ; 问 你 的 长 者, 他 必 告 诉 你 ( 申 32 3 7) 凡 是 真 实 的, 可 敬 的, 公 义 的, 清 洁 的, 可 爱 的, 有 美 名 的 ; 若 有 什 么 德 行, 若 有 什

More information

Microsoft Word - 助理人員教育訓練-會計室.docx

Microsoft Word - 助理人員教育訓練-會計室.docx 壹 報 帳 流 程 區 分 為 以 下 三 種 流 程 : 請 購 單 流 程 請 款 單 流 程 借 款 核 銷 流 程 一 請 購 單 流 程 1 二 請 款 單 流 程 1 3 NO YES 10 20 2 3 三 借 款 核 銷 流 程 貳 憑 證 的 種 類 及 內 容 一 統 一 發 票 1. 三 聯 式 統 一 發 票 (1) 買 受 人 : 務 必 請 廠 商 填 上 輔 仁 大 學

More information

关于规范区委、区委办公室发文

关于规范区委、区委办公室发文 浦 东 情 况 通 报 第 13 期 中 共 浦 东 新 区 区 委 办 公 室 2015 年 6 月 8 日 沈 晓 明 同 志 在 全 区 党 员 领 导 干 部 三 严 三 实 专 题 教 育 党 课 上 的 讲 话 (2015 年 5 月 15 日 ) 前 不 久, 中 央 下 发 了 在 县 处 级 以 上 领 导 干 部 中 开 展 三 严 三 实 专 题 教 育 的 方 案, 刘 云

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

<4D6963726F736F667420576F7264202D20AC4FBDBDA4FBB67DA96CAABA2DA743A67EAFC5AAA95FA7B9BD5A5F2E646F63>

<4D6963726F736F667420576F7264202D20AC4FBDBDA4FBB67DA96CAABA2DA743A67EAFC5AAA95FA7B9BD5A5F2E646F63> ( 閱 讀 前 ) 練 習 一 動 動 腦, 猜 一 猜 小 朋 友, 現 在 我 們 要 一 起 來 閱 讀 一 本 很 有 趣 的 書, 書 名 是 是 蝸 牛 開 始 的!, 請 動 動 你 的 腦 袋, 想 像 自 己 是 作 者, 猜 猜 這 本 書 在 說 什 麼 樣 的 故 事 呢? 我 覺 得 這 個 故 事 可 能 的 角 色 有 我 覺 得 這 個 故 事 可 能 發 生 的 地

More information

PowerPoint 簡報

PowerPoint 簡報 國 家 賠 償 法 概 述 主 講 人 : 宋 恭 良 104.10.12 2015.10.30 1 Q. 老 師 是 否 是 公 務 員? 是 否 適 用 國 賠? 法 務 部 95 年 9 月 14 日 法 律 字 第 0170449 號 函 : 國 家 賠 償 法 第 2 條 第 1 項 規 定 本 法 所 稱 公 務 員 者, 謂 依 法 令 從 事 於 公 務 之 員, 係 採 最 廣 義

More information

Microsoft Word - 梁斌言:2016年度全省职业教育工作会议总结讲话提纲.doc

Microsoft Word - 梁斌言:2016年度全省职业教育工作会议总结讲话提纲.doc 2016 年 度 全 省 职 业 教 育 工 作 会 议 总 结 讲 话 提 纲 梁 斌 言 一 会 议 小 结 刚 才, 有 七 位 同 志 作 了 典 型 发 言 讲 的 都 很 好 由 于 时 间 较 短, 他 们 没 能 展 开, 但 仍 然 给 我 们 以 很 大 启 发 徐 厅 长 在 讲 话 中, 全 面 总 结 了 五 年 以 来 现 代 职 教 体 系 建 设 的 成 就 和 经

More information

中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 2016 年 是 实 施 十 三 五 规 划 的 开 局 之 年, 是 推 进 全 面 从 严 治 党 的 深 化 之 年, 是 决 胜 脱 贫 攻 坚 的 关 键 之 年 机 关 党 的

中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 2016 年 是 实 施 十 三 五 规 划 的 开 局 之 年, 是 推 进 全 面 从 严 治 党 的 深 化 之 年, 是 决 胜 脱 贫 攻 坚 的 关 键 之 年 机 关 党 的 广 食 药 监 党 组 发 2016 5 号 中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 关 于 印 发 2016 年 机 关 党 的 工 作 要 点 的 通 知 各 级 党 组 织 : 现 将 中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 印 发 给 你 们, 请 结 合 实 际 抓 好 贯 彻 落 实 附 件 :1.2016

More information

“秦火火”玩“火”自焚

“秦火火”玩“火”自焚 学 习 参 考 (2014 年 第 5 期 ) 党 委 组 织 部 党 委 宣 传 部 二 〇 一 四 年 七 月 社 会 主 义 核 心 价 值 观 基 本 内 容 : 富 强 民 主 文 明 和 谐, 自 由 平 等 公 正 法 治, 爱 国 敬 业 诚 信 友 善 目 录 基 层 党 建 中 共 中 央 办 公 厅 印 发 2014-2018 年 全 国 党 员 教 育 培 训 工 作 规 划

More information

简 讯 : 庐 江 县 气 象 监 测 预 警 中 心 主 体 结 构 顺 利 封 顶 肥 西 县 政 府 出 台 乡 镇 气 象 工 作 目 标 管 理 考 核 细 则 庐 江 县 组 织 召 开 乡 镇 气 象 灾 害 防 御 工 作 会 议 长 丰 县 局 积 极 组 织 开 展 无 偿 献

简 讯 : 庐 江 县 气 象 监 测 预 警 中 心 主 体 结 构 顺 利 封 顶 肥 西 县 政 府 出 台 乡 镇 气 象 工 作 目 标 管 理 考 核 细 则 庐 江 县 组 织 召 开 乡 镇 气 象 灾 害 防 御 工 作 会 议 长 丰 县 局 积 极 组 织 开 展 无 偿 献 合 肥 气 象 工 作 2015 年 第 八 期 总 第 246 期 本 期 导 读 : 加 强 新 合 作 确 立 新 标 杆 局 市 携 手 共 同 加 快 合 肥 率 先 实 现 气 象 现 代 化 合 肥 市 领 导 关 心 气 象 事 业 发 展 合 肥 市 财 政 大 力 支 持 和 保 障 气 象 事 业 发 展 合 肥 市 落 实 气 象 事 业 单 位 人 员 绩 效 工 资 合

More information

2013年全国农村妇女科学素质网络竞赛活动总结

2013年全国农村妇女科学素质网络竞赛活动总结 2013 年 全 国 农 村 妇 女 科 学 素 质 网 络 竞 赛 活 动 总 结 为 全 面 贯 彻 党 的 十 八 大 精 神, 落 实 全 民 科 学 素 质 行 动 计 划 纲 要 实 施 方 案 (2011 2015 年 ), 提 高 农 村 妇 女 运 用 互 联 网 获 取 农 业 生 产 科 学 生 活 低 碳 环 保 等 方 面 的 知 识 和 技 术, 引 导 农 村 妇 女

More information

目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1.

目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1. 目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1.3.3 毕 业 生 性 别 分 布...4 1.3.4 毕 业 生 生 源 分 布...5 1.4 毕

More information

0卷首语.FIT)

0卷首语.FIT) 筅 准 确 把 握 三 全 精 神 央 主 席 认 真 履 行 参 政 党 职 能 张 宝 文 共 十 八 届 三 全 是 在 我 国 改 革 发 展 的 重 要 关 头, 在 全 面 建 成 小 康 社 决 定 性 阶 段 召 的 一 次 重 要 议 全 鲜 明 地 举 旗 定 向 勾 画 蓝 图, 释 放 出 坚 定 不 移 地 推 进 改 革 放 的 强 烈 信 号, 对 国 特 色 社 主

More information

版块一 研究生学长对《自然地理学》科目的总结

版块一 研究生学长对《自然地理学》科目的总结 版 块 一 研 究 生 学 长 对 自 然 地 理 学 科 目 的 总 结 一 考 试 范 围 和 重 点 ( 地 学 考 研 中 心 提 供 ) 1 题 型 方 面 ( 首 师 大 自 然 考 研 群 306642939) 从 下 表 中 可 以 看 出, 首 师 自 然 地 理 学 出 题 从 07 年 采 用 名 解 + 简 答 + 论 述 的 形 式, 只 不 过 各 年 各 题 型 的 数

More information

北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京 化 工 大 学 高 度 重 视 毕 业 生 就 业

北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京 化 工 大 学 高 度 重 视 毕 业 生 就 业 北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 学 生 就 业 指 导 服 务 中 心 二 〇 一 四 年 十 二 月 北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京

More information

2014年9月月讯

2014年9月月讯 科 技 动 态 3 月 快 讯 ( 国 家 自 然 科 学 基 金 申 报 专 刊 ) 主 办 : 科 技 处 责 编 : 李 文 凤 校 对 : 李 伟 2015 年 总 第 20 期 太 原 理 工 大 学 科 技 信 息 QQ 群 号 :203560682 科 研 经 费 ( 单 位 : 万 元 ) 时 间 2015.1.1-2015.3.31 2014.1.1-2014.3.31 同 比 增

More information

( 一 ) 毕 业 生 规 模 和 就 业 率 浙 江 警 察 学 院 2014 届 毕 业 生 共 计 542 人, 均 为 本 科 毕 业 生, 其 中 浙 江 省 内 生 源 毕 业 生 516 人, 西 藏 自 治 区 生 源 毕 业 生 26 人 截 至 2014 年 12 月 10 日,

( 一 ) 毕 业 生 规 模 和 就 业 率 浙 江 警 察 学 院 2014 届 毕 业 生 共 计 542 人, 均 为 本 科 毕 业 生, 其 中 浙 江 省 内 生 源 毕 业 生 516 人, 西 藏 自 治 区 生 源 毕 业 生 26 人 截 至 2014 年 12 月 10 日, 浙 江 警 察 学 院 2014 届 毕 业 生 就 业 质 量 年 度 报 告 毕 业 与 就 业, 既 给 学 生 大 学 生 活 画 上 了 圆 满 的 句 号, 也 是 学 生 人 生 道 路 的 新 启 程 为 全 面 系 统 地 反 映 浙 江 警 察 学 院 2014 届 毕 业 生 就 业 工 作 的 实 际 情 况, 完 善 就 业 状 况 反 馈 机 制, 及 时 回 应 社 会

More information

1

1 1 2 3 4 5 6 7 渡 口 集 结 号 文 / 田 云 贵 8 三 局 的 发 源 地 在 四 川 渡 口 1965 年, 为 响 应 党 和 国 家 三 线 建 设 的 号 召, 大 批 施 工 单 位 和 人 员 来 到 这 里, 我 也 是 其 中 一 员 我 们 去 之 前, 从 未 听 说 过 渡 口, 今 天 人 们 也 已 经 无 法 从 地 图 上 找 到 这 个 地 方 事

More information

就业质量报告工作方案

就业质量报告工作方案 西 南 政 法 大 学 2015 届 毕 业 研 究 生 就 业 质 量 报 告 2015 年 12 月 目 录 编 写 说 明...3 一 数 据 来 源... 3 二 相 关 说 明... 3 第 一 章 学 校 概 况... 4 第 二 章 就 业 概 况... 7 一 毕 业 研 究 生 规 模 及 结 构... 7 二 毕 业 研 究 生 的 就 业 状 况... 8 三 毕 业 研 究

More information

内 蒙 古 大 学 创 建 于 1957 年, 是 新 中 国 成 立 后 党 和 国 家 在 少 数 民 族 地 区 创 建 最 早 的 综 合 大 学 学 校 1962 年 招 收 研 究 生,1978 年 被 确 定 为 全 国 重 点 大 学,1984 年 获 博 士 学 位 授 权,199

内 蒙 古 大 学 创 建 于 1957 年, 是 新 中 国 成 立 后 党 和 国 家 在 少 数 民 族 地 区 创 建 最 早 的 综 合 大 学 学 校 1962 年 招 收 研 究 生,1978 年 被 确 定 为 全 国 重 点 大 学,1984 年 获 博 士 学 位 授 权,199 内 蒙 古 大 学 2015 年 毕 业 生 就 业 质 量 年 度 报 告 内 蒙 古 大 学 学 生 就 业 处 2015 年 12 月 内 蒙 古 大 学 创 建 于 1957 年, 是 新 中 国 成 立 后 党 和 国 家 在 少 数 民 族 地 区 创 建 最 早 的 综 合 大 学 学 校 1962 年 招 收 研 究 生,1978 年 被 确 定 为 全 国 重 点 大 学,1984

More information

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 2 一 毕 业 生 的 觃 模 和 结 构... 2 ( 一 ) 毕 业 生 的 觃 模... 2 ( 二 ) 毕 业 生 结 构... 2 二 就 业 率... 4 ( 一 ) 总 体

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 2 一 毕 业 生 的 觃 模 和 结 构... 2 ( 一 ) 毕 业 生 的 觃 模... 2 ( 二 ) 毕 业 生 结 构... 2 二 就 业 率... 4 ( 一 ) 总 体 安 徽 审 计 职 业 学 院 2015 届 毕 业 生 就 业 质 量 年 度 报 告 安 徽 审 计 职 业 学 院 编 2016 年 1 月 目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 2 一 毕 业 生 的 觃 模 和 结 构... 2 ( 一 ) 毕 业 生 的 觃 模... 2 ( 二 ) 毕 业 生 结 构... 2

More information

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 的 规 模 和 结 构... 3 ( 一 ) 毕 业 生 的 规 模... 3 ( 二 ) 毕 业 生 结 构... 4 二 就 业 率... 5 ( 一 ) 总 体

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 的 规 模 和 结 构... 3 ( 一 ) 毕 业 生 的 规 模... 3 ( 二 ) 毕 业 生 结 构... 4 二 就 业 率... 5 ( 一 ) 总 体 安 徽 广 播 影 视 职 业 技 术 学 院 2015 届 毕 业 生 就 业 质 量 年 度 报 告 安 徽 广 播 影 视 职 业 技 术 学 院 学 生 处 编 2016 年 1 月 目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 的 规 模 和 结 构... 3 ( 一 ) 毕 业 生 的 规 模...

More information

南昌职~1

南昌职~1 南 昌 职 业 学 院 人 才 培 养 质 量 2016 年 度 报 告 目 录 一 办 学 情 况 概 述... 1 ( 一 ) 办 学 历 史... 1 ( 二 ) 办 学 定 位... 1 ( 三 ) 办 学 规 模... 1 ( 四 ) 办 学 条 件... 2 二 院 校 治 理 能 力... 2 ( 一 ) 强 化 班 子 建 设, 提 高 治 校 水 平... 2 ( 二 ) 健 全

More information

的 通 知 (30) 安 阳 市 人 民 政 府 办 公 室 关 于 印 发 代 市 长 王 新 伟 在 市 长 办 公 会 议 上 讲 话 的 通 知 (33) 大 事 记 安 阳 市 人 民 政 府 大 事 记 (2015 年 11 月 ) (38) 安 阳 市 人 民 政 府 大 事 记 (2

的 通 知 (30) 安 阳 市 人 民 政 府 办 公 室 关 于 印 发 代 市 长 王 新 伟 在 市 长 办 公 会 议 上 讲 话 的 通 知 (33) 大 事 记 安 阳 市 人 民 政 府 大 事 记 (2015 年 11 月 ) (38) 安 阳 市 人 民 政 府 大 事 记 (2 安 阳 市 人 民 政 府 公 报 2015 年 第 6 号 ( 总 第 63 号 ) 安 阳 市 人 民 政 府 办 公 室 2015 年 12 月 28 日 目 录 市 政 府 文 件 安 阳 市 人 民 政 府 关 于 进 一 步 做 好 新 形 势 下 就 业 创 业 工 作 的 实 施 意 (3) 安 阳 市 人 民 政 府 关 于 公 布 市 政 府 部 门 权 力 清 单 和 责 任

More information

关于成立化学化工学院石油炼制系和应用化学系的通知

关于成立化学化工学院石油炼制系和应用化学系的通知 化 工 院 党 2016 2 号 化 学 工 程 学 院 学 党 章 党 规 学 系 列 讲 话, 做 合 格 党 员 学 习 教 育 实 施 方 案 根 据 学 校 党 委 印 发 的 在 全 校 党 员 中 开 展 学 党 章 党 规 学 重 要 讲 话, 做 合 格 党 员 学 习 教 育 的 实 施 方 案 的 通 知 精 神, 结 合 学 院 实 际, 现 就 2016 年 在 学 院 全

More information

<4D6963726F736F667420576F7264202D2032303136C4EAD6D0BFBCD3EFCEC4C6C0BCDBD6B8C4CFA3A8B6A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D2032303136C4EAD6D0BFBCD3EFCEC4C6C0BCDBD6B8C4CFA3A8B6A8B8E5A3A92E646F63> 2016 年 上 海 市 初 中 语 文 课 程 终 结 性 评 价 指 南 一 评 价 的 性 质 目 的 和 对 象 上 海 市 初 中 毕 业 语 文 统 一 学 业 考 试 是 义 务 教 育 阶 段 的 终 结 性 评 价 它 的 指 导 思 想 是 有 利 于 落 实 教 考 一 致 的 要 求, 切 实 减 轻 中 学 生 过 重 的 学 业 负 担 ; 有 利 于 引 导 初 中 学

More information

中机质协[2016]2

中机质协[2016]2 中 国 机 械 工 业 质 量 管 理 协 会 文 件 中 机 质 协 [2016] 02 号 关 于 印 发 2016 年 中 机 质 协 工 作 要 点 的 通 知 各 省 ( 自 治 区 ) 市 机 械 汽 车 工 业 主 管 部 门 ( 行 业 办 联 合 会 ) 机 械 质 协 会 员 单 位 有 关 事 业 单 位 : 根 据 中 国 机 械 工 业 质 量 管 理 协 会 七 届 二

More information

前 言 厦 门 南 洋 职 业 学 院 是 经 福 建 省 人 民 政 府 批 准 正 式 设 立 国 家 教 育 部 备 案 具 有 独 立 颁 发 国 家 承 认 学 历 文 凭 资 格 的 全 日 制 综 合 性 普 通 高 等 院 校, 由 海 内 外 热 心 教 育 的 十 五 位 学 者

前 言 厦 门 南 洋 职 业 学 院 是 经 福 建 省 人 民 政 府 批 准 正 式 设 立 国 家 教 育 部 备 案 具 有 独 立 颁 发 国 家 承 认 学 历 文 凭 资 格 的 全 日 制 综 合 性 普 通 高 等 院 校, 由 海 内 外 热 心 教 育 的 十 五 位 学 者 厦 门 南 洋 职 业 学 院 毕 业 生 就 业 指 导 中 心 二 〇 一 五 年 十 二 月 ~ 1 ~ 前 言 厦 门 南 洋 职 业 学 院 是 经 福 建 省 人 民 政 府 批 准 正 式 设 立 国 家 教 育 部 备 案 具 有 独 立 颁 发 国 家 承 认 学 历 文 凭 资 格 的 全 日 制 综 合 性 普 通 高 等 院 校, 由 海 内 外 热 心 教 育 的 十 五

More information

目 录

目   录 2015 年毕业生就业质量年度报告 二〇一五年十二月 目 前 言...1 第 一 章 2015 届 毕 业 生 基 本 情 况...2 一 毕 业 生 总 体 情 况... 2 二 毕 业 生 生 源 地 分 布 状 况... 3 三 毕 业 生 性 别 分 布 状 况... 5 第 二 章 2015 届 毕 业 生 就 业 状 况...6 一 毕 业 生 基 本 就 业 状 况... 6 二 毕

More information

Microsoft Word - 7700-8-职业规划与就业指导正文.doc

Microsoft Word - 7700-8-职业规划与就业指导正文.doc 第 5 章 中 职 生 就 业 心 理 5.1 中 职 生 就 业 观 念 分 析 随 着 我 国 社 会 主 义 市 场 经 济 的 发 展 和 劳 动 就 业 制 度 的 改 革, 中 职 毕 业 生 就 业 实 行 不 包 分 配 双 向 选 择 择 优 录 用 的 安 置 制 度 具 体 地 说, 就 是 执 行 在 国 家 统 筹 规 划 和 指 导 下, 劳 动 部 门 介 绍 就 业,

More information

Microsoft Word - 会行党_2016_3号.doc

Microsoft Word - 会行党_2016_3号.doc 中 共 中 国 注 册 会 计 师 行 业 委 员 会 中 国 注 册 会 计 师 协 会 文 件 会 行 党 2016 3 号 关 于 印 发 注 册 会 计 师 行 业 创 新 服 务 年 主 题 活 动 实 施 方 案 的 通 知 各 省 自 治 区 直 辖 市 注 册 会 计 师 行 业 ( 协 会 ) 党 组 织, 协 会 : 现 将 注 册 会 计 师 行 业 创 新 服 务 年 主 题

More information

和 工 作 格 局 遵 循 公 正 公 开 便 民 原 则, 建 立 完 善 了 信 息 公 开 的 工 作 制 度 和 工 作 规 范 : 制 订 出 台 了 青 岛 农 业 大 学 信 息 公 开 实 施 细 则 ( 试 行 ), 明 确 了 信 息 公 开 的 内 容 公 开 途 径 和 要

和 工 作 格 局 遵 循 公 正 公 开 便 民 原 则, 建 立 完 善 了 信 息 公 开 的 工 作 制 度 和 工 作 规 范 : 制 订 出 台 了 青 岛 农 业 大 学 信 息 公 开 实 施 细 则 ( 试 行 ), 明 确 了 信 息 公 开 的 内 容 公 开 途 径 和 要 青 岛 农 业 大 学 2013 2014 学 年 度 信 息 公 开 工 作 报 告 本 报 告 按 照 高 等 学 校 信 息 公 开 办 法 高 等 学 校 信 息 公 开 事 项 清 单 和 青 岛 农 业 大 学 信 息 公 开 实 施 细 则 要 求, 根 据 青 岛 农 业 大 学 2013-2014 学 年 信 息 公 开 工 作 执 行 情 况 编 制 而 成 全 文 包 括 概

More information

标题

标题 珠 海 经 济 社 会 发 展 研 究 报 告 (2014) 扩 大 优 质 学 前 教 育 资 源, 实 施 名 园 办 民 园 可 行 性 途 径 研 究 珠 海 市 机 关 第 一 幼 儿 园 课 题 组 一 引 言 ( ) 研 究 背 景 当 前 社 会 对 优 质 学 前 教 育 资 源 的 需 求 大, 而 政 府 对 学 前 教 育 经 费 投 入 又 严 重 不 足, 导 致 优 质

More information

党 建 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 离 退 休 党 支 部 书 记 座 谈 会 4 月 22 日 下 午, 离 退 休 干 部 工 作 处 在 胜 利 楼 会 议 室 召 开 党 支 部 书 记 座 谈 会 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 会 议,

党 建 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 离 退 休 党 支 部 书 记 座 谈 会 4 月 22 日 下 午, 离 退 休 干 部 工 作 处 在 胜 利 楼 会 议 室 召 开 党 支 部 书 记 座 谈 会 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 会 议, 中 国 海 洋 大 学 离 退 休 干 部 工 作 简 讯 2014 第 一 期 ( 总 第 一 期 ) 中 国 海 洋 大 学 离 退 休 干 部 工 作 处 目 录 党 建 1 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 离 退 休 党 支 部 书 记 座 谈 会 2 离 退 休 干 部 党 支 部 书 记 集 体 学 习 习 总 书 记 系 列 讲 话 精 神 3 离 退 休 干

More information

令行立即行 上马就扬蹄

令行立即行  上马就扬蹄 张 安 教 师 工 作 坊 活 动 情 况 简 报 主 办 : 重 庆 市 梁 平 县 张 安 教 师 工 作 坊 承 办 : 张 安 教 师 工 作 坊 第 二 小 组 第 4 期 2016 年 5 月 14 日 长 硬 翅 膀 才 能 飞 得 更 高 工 作 坊 第 二 小 组 活 动 4 月 中 旬, 我 们 接 到 了 一 个 新 的 任 务 : 以 小 组 为 单 位, 开 展 一 次 研

More information

一 指 导 思 想 全 面 贯 彻 党 的 十 八 大 和 十 八 届 三 中 四 中 五 中 全 会 精 神, 深 入 学 习 习 近 平 总 书 记 系 列 重 要 讲 话 精 神, 按 照 中 央 和 上 级 政 法 公 安 机 关 关 于 加 强 队 伍 建 设 的 有 关 要 求, 聚 焦

一 指 导 思 想 全 面 贯 彻 党 的 十 八 大 和 十 八 届 三 中 四 中 五 中 全 会 精 神, 深 入 学 习 习 近 平 总 书 记 系 列 重 要 讲 话 精 神, 按 照 中 央 和 上 级 政 法 公 安 机 关 关 于 加 强 队 伍 建 设 的 有 关 要 求, 聚 焦 甬 公 海 党 2016 10 号 中 共 宁 波 市 公 安 局 海 曙 分 局 委 员 会 关 于 印 发 全 区 公 安 机 关 队 伍 建 设 年 活 动 实 施 方 案 的 通 知 本 局 各 党 支 部 : 现 将 全 区 公 安 机 关 队 伍 建 设 年 活 动 实 施 方 案 印 发 给 你 们, 请 结 合 实 际, 认 真 贯 彻 执 行 中 共 宁 波 市 公 安 局 海 曙

More information

BT-15

BT-15 基 督 徒 的 恋 爱 婚 姻 观 张 成 1 男 不 近 女 的 原 因 : 试 探 从 触 摸 开 始 上 一 课 我 们 谈 到 两 性 关 系 及 性 欲 的 问 题, 今 天 会 重 点 谈 婚 姻 在 谈 之 前, 需 要 对 上 一 课 关 于 性 试 探 方 面 的 内 容 做 一 些 补 充, 我 们 看 哥 林 多 前 书 7 章 1-2 节 : 1 论 到 你 们 信 上 所

More information

国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 ( 以 下 简 称 继 教 网 ) 在 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项

国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 ( 以 下 简 称 继 教 网 ) 在 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 二 一 二 年 三 月 二 十 六 日 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 ( 以

More information

绝版亲情

绝版亲情 北 京 市 第 十 七 中 学 第 十 二 届 春 蕾 杯 优 秀 作 文 选 北 京 市 第 十 七 中 学 初 中 部 语 文 教 研 组 2012 年 5 月 目 录 绝 版 亲 情... 3 再 等 我 一 次... 5 绝 版 亲 情... 7 怀 揣 着 梦 想 的 女 孩... 9 老 师, 我 想 给 你 说 我 的 理 想... 11 友 谊 更 重 要... 12 那 时 的 我...

More information

取 企 业 一 套 表 平 台 收 集 汇 总 整 理 和 提 供 有 关 调 查 的 统 计 数 据, 综 合 整 理 和 提 供 旅 游 科 技 教 育 文 化 卫 生 体 育 社 会 保 障 公 用 事 业 等 全 区 性 基 本 统 计 数 据 6 组 织 实 施 基 本 单 位 能 源 投

取 企 业 一 套 表 平 台 收 集 汇 总 整 理 和 提 供 有 关 调 查 的 统 计 数 据, 综 合 整 理 和 提 供 旅 游 科 技 教 育 文 化 卫 生 体 育 社 会 保 障 公 用 事 业 等 全 区 性 基 本 统 计 数 据 6 组 织 实 施 基 本 单 位 能 源 投 玄 武 区 统 计 局 2015 年 部 门 预 算 编 制 说 明 一 部 门 基 本 情 况 统 计 局 是 行 政 单 位, 经 费 管 理 方 式 是 财 政 全 额 拨 款 统 计 局 内 设 综 合 法 制 科 工 业 投 资 科 贸 易 业 科 服 务 业 科 和 调 查 队, 下 属 事 业 单 位 综 合 抽 样 调 查 队 统 计 局 人 员 由 行 政 编 制 事 业 编 制

More information

Administrator

Administrator 附 件 2 贵 州 省 省 级 示 范 幼 儿 园 评 估 细 则 ( 试 行 ) 一 体 系 C1 办 园 念 (10 ) B1 幼 儿 园 领 导 (20 ) C2 管 团 队 (10 ) C3 规 划 制 定 (10 ) B2 发 展 规 划 (30 ) C4 规 划 实 施 (10 ) C5 规 划 总 结 (10 ) C6 教 职 工 配 备 (10 ) B3 队 伍 建 设 (45 )

More information

<32303131C4EAD0C2CEC5B1A8B5C0CCE2C2BC>

<32303131C4EAD0C2CEC5B1A8B5C0CCE2C2BC> 2011 年 新 闻 报 道 题 录 中 央 电 视 台 1 套 南 京 市 鼓 楼 区 湖 南 路 街 道 : 女 民 兵 敬 老 院 里 送 温 暖 1 月 26 日 新 闻 联 播 王 兆 国 在 江 苏 考 察 5 月 12 日 新 闻 联 播 从 怎 么 看 到 怎 么 干 : 怎 么 保 持 物 价 稳 定 8 月 11 日 理 论 热 点 面 对 面 南 京 市 鼓 楼 区 多 措 并

More information

标题

标题 第 4 期 科 教 司 编 2014 年 12 月 31 日 目 录 浙 江 体 育 局 狠 抓 食 品 安 全 反 兴 奋 剂 宣 传 教 育 有 创 新 注 重 宣 传 教 育 加 大 检 查 力 度 江 苏 反 兴 奋 剂 工 作 取 得 实 效 山 西 重 视 反 兴 奋 剂 宣 传 教 育 1 坚 持 不 走 过 场 不 搞 形 式 主 义 上 海 打 造 反 兴 奋 剂 精 英 团 队

More information

有 两 室, 外 加 一 个 很 小 的 房 间 和 一 个 小 厨 房 不 过 在 当 时 的 湖 边 坊, 这 就 相 当 于 一 幢 高 级 别 墅, 非 常 引 人 注 目 和 招 人 嫉 妒 姨 妈 和 姨 父 共 有 三 个 儿 子 和 一 个 女 儿 老 大 夏 天 强 比 我 大 7

有 两 室, 外 加 一 个 很 小 的 房 间 和 一 个 小 厨 房 不 过 在 当 时 的 湖 边 坊, 这 就 相 当 于 一 幢 高 级 别 墅, 非 常 引 人 注 目 和 招 人 嫉 妒 姨 妈 和 姨 父 共 有 三 个 儿 子 和 一 个 女 儿 老 大 夏 天 强 比 我 大 7 走 天 涯 (3)- 武 汉 詹 红 兵 (2015 2 24) 羊 年 春 节 刚 刚 过 去, 每 逢 佳 节 倍 思 亲, 我 又 想 起 故 乡 的 亲 人 和 美 食 趁 这 个 机 会, 在 这 里 谈 谈 2013 年 夏 天 我 行 走 武 汉 的 一 些 片 段 虽 说 我 的 老 家 黄 陂 现 在 算 是 武 汉 市 的 一 个 行 政 区, 而 我 家 所 在 的 蔡 榨 镇

More information

金 山 区 青 年 创 新 创 业 示 范 区 的 建 议 进 行 专 门 答 复 朱 波 委 员 提 出, 创 新 创 业 的 主 体 是 青 年, 要 集 聚 教 育 科 研 人 才 资 本 等 各 类 资 源 和 优 势, 加 快 建 设 青 年 创 新 创 业 示 范 区, 在 政 策 体

金 山 区 青 年 创 新 创 业 示 范 区 的 建 议 进 行 专 门 答 复 朱 波 委 员 提 出, 创 新 创 业 的 主 体 是 青 年, 要 集 聚 教 育 科 研 人 才 资 本 等 各 类 资 源 和 优 势, 加 快 建 设 青 年 创 新 创 业 示 范 区, 在 政 策 体 人 力 资 源 社 会 保 障 工 作 信 息 第 5 期 ( 总 第 90 期 ) 上 海 市 金 山 区 人 力 资 源 和 社 会 保 障 局 办 公 室 编 2015 年 5 月 25 日 重 点 导 读 副 区 长 吴 瑞 弟 上 门 答 复 政 协 委 员 提 案 市 医 保 中 心 副 主 任 闵 倍 丽 来 金 调 研 金 山 区 2015 年 职 业 技 能 竞 赛 正 式 开 幕

More information

趋 61 中 国 必 须 创 新 新 教 育 价 值 观 刘 道 玉 64 学 校 常 规 管 理 的 常 与 新 李 瑾 瑜 69 教 育 就 要 宽 柔 养 育 王 立 志 目 录 阅 读 72 全 民 阅 读 应 成 为 国 家 战 略 朱 永 新 77 一 世 读 书 抵 封 侯 陈 先 达

趋 61 中 国 必 须 创 新 新 教 育 价 值 观 刘 道 玉 64 学 校 常 规 管 理 的 常 与 新 李 瑾 瑜 69 教 育 就 要 宽 柔 养 育 王 立 志 目 录 阅 读 72 全 民 阅 读 应 成 为 国 家 战 略 朱 永 新 77 一 世 读 书 抵 封 侯 陈 先 达 目 录 2014 年 第 1 期 ( 总 第 23 期 )2014 年 1 月 15 日 出 版 趋 目 录 页 眉 页 边 等 文 章 增 减 完 后 再 做! 目 录 趋 61 中 国 必 须 创 新 新 教 育 价 值 观 刘 道 玉 64 学 校 常 规 管 理 的 常 与 新 李 瑾 瑜 69 教 育 就 要 宽 柔 养 育 王 立 志 目 录 阅 读 72 全 民 阅 读 应 成 为 国

More information

Microsoft Word - 第三期简报1.doc

Microsoft Word - 第三期简报1.doc 国 培 计 划 (2012) 陕 西 省 农 村 骨 干 教 师 培 训 项 目 工 作 简 报 第 三 期 陕 西 省 教 育 厅 师 资 与 师 范 教 育 处 陕 西 省 中 小 学 教 师 国 培 计 划 项 目 执 行 办 公 室 2012 年 10 月 15 日 培 训 动 态 远 程 培 训 项 目 进 展 顺 利, 落 地 开 花 全 国 中 小 学 继 续 教 育 网 率 先 启

More information

山东体育学院

山东体育学院 山 东 体 育 学 院 2014 届 毕 业 生 就 业 质 量 年 度 报 告 1 目 录 第 一 部 分 : 毕 业 生 就 业 基 本 情 况... - 4 - 一 综 述... - 4 - 二 毕 业 生 的 规 模... - 5 - 三 毕 业 生 的 结 构... - 6 - ( 一 ) 毕 业 生 院 系 分 布... - 6 - ( 二 ) 毕 业 生 的 基 本 情 况... -

More information

标题

标题 河 北 省 省 会 精 神 文 明 建 设 委 员 会 办 公 室 石 家 庄 市 志 愿 服 务 指 导 委 员 会 石 家 庄 市 志 愿 服 务 总 队 石 家 庄 市 志 愿 服 务 基 金 会 文 件 石 文 明 办 2014 25 号 关 于 命 名 全 市 优 秀 志 愿 服 务 集 体 和 个 人 的 决 定 各 县 ( 市 ) 区 文 明 办, 市 直 机 关 工 委, 市 委 农

More information

目 录 学 校 概 况... 1 报 告 说 明... 2 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 基 本 情 况... 3 ( 一 ) 本 与 科 毕 业 生 人 数 不 比 例... 3 ( 二 ) 各 系 毕 业 生 人 数 分 布... 3 ( 三 ) 毕

目 录 学 校 概 况... 1 报 告 说 明... 2 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 基 本 情 况... 3 ( 一 ) 本 与 科 毕 业 生 人 数 不 比 例... 3 ( 二 ) 各 系 毕 业 生 人 数 分 布... 3 ( 三 ) 毕 合 肥 学 院 2015 年 毕 业 生 就 业 质 量 年 度 报 告 合 肥 学 院 招 生 就 业 处 编 2016 年 1 月 目 录 学 校 概 况... 1 报 告 说 明... 2 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 基 本 情 况... 3 ( 一 ) 本 与 科 毕 业 生 人 数 不 比 例... 3 ( 二 ) 各 系 毕 业 生 人 数

More information

标题

标题 地方法治蓝皮书 19 江阴市检察院未成年人 刑事检察调研报告 陈春来 摘 要 经过长期的探索和实践 江阴市检察院逐步形成了 一体两 翼三平台 的未成年人刑事检察工作模式 为平等保护涉罪 外来未成年人取保候审的权利 在全国首创观护教育基地 通过政府购买公共服务 将未成年人刑事检察社会化工作交 由市青少年权益保护协会承担 推动建立起未成年人司法借 助社会专业力量的长效机制 关键词 未成年人 刑事检察 观护帮教工作站

More information

专业特色、实施过程和效果说明

专业特色、实施过程和效果说明 9.1 专 业 特 色 实 施 过 程 和 效 果 说 明 高 校 代 码 及 名 称 :10419 井 冈 山 大 学 专 业 代 码 及 名 称 :050101 汉 语 言 文 学 一 专 业 特 色 依 据 复 合 型 人 才 培 养 定 位, 基 于 厚 基 础 重 素 养 强 能 力 人 才 培 养 理 念, 充 分 利 用 地 方 红 色 古 色 文 化 资 源 优 势 和 传 统 文

More information

- 1 - 学 校 简 介 烟 台 大 学 文 经 学 院 成 立 于 2003 年, 为 全 日 制 本 科 层 次 普 通 综 合 类 高 校, 是 国 家 教 育 部 首 批 确 认 的 独 立 学 院 目 前 在 校 生 一 万 二 千 余 人 2005 年 1 月, 学 院 以 优 异 成 绩 通 过 教 育 部 独 立 学 院 办 学 条 件 和 教 学 工 作 专 项 检 查 2006

More information

吉林师范大学博达学院

吉林师范大学博达学院 吉 林 师 范 大 学 博 达 学 院 2015 届 毕 业 生 就 业 质 量 年 度 报 告 2015 年 12 月 目 录 前 言... 3 第 一 部 分 毕 业 生 基 本 情 况... 5 1.1 毕 业 生 规 模... 5 1.2 各 系 专 业 毕 业 生 数... 6 1.3 教 师 教 育 非 教 师 教 育 专 业 毕 业 生 数... 7 1.4 男 女 生 毕 业 生 人

More information

综合练习与检测八下.tpf

综合练习与检测八下.tpf 吉 林 省 中 小 学 教 材 审 定 委 员 会 审 定 综 合 练 习 与 检 测 八 年 级 下 册 新 课 标 实 验 教 材 编 写 组 编 目 录 一 诗 歌 二 首 (1) 二 格 律 诗 八 首 (6) 三 词 二 首 ( 10) 阶 段 检 测 题 ( 15) 四 聪 明 人 和 傻 子 和 奴 才 ( 18) 五 驴 和 人 的 新 寓 言 ( 21) 阶 段 检 测 题 ( 23)

More information

要 惧 让 的 血 族 亲 王 夜 冥 昊 一 座 华 丽 而 又 古 老 的 城 堡 坐 落 在 一 个 开 满 血 色 彼 岸 花 的 地 方, 城 堡 内, 看 不 见 任 何 东 西, 只 能 感 受 到 置 身 在 黑 暗 之 中 那 种 诡 异, 恐 惧 的 气 氛, 这 里 很 黑,

要 惧 让 的 血 族 亲 王 夜 冥 昊 一 座 华 丽 而 又 古 老 的 城 堡 坐 落 在 一 个 开 满 血 色 彼 岸 花 的 地 方, 城 堡 内, 看 不 见 任 何 东 西, 只 能 感 受 到 置 身 在 黑 暗 之 中 那 种 诡 异, 恐 惧 的 气 氛, 这 里 很 黑, 女 王 驾 到 : 彼 岸 的 霸 气 / 作 者 : 陌 缨 绯 陌 陌 的 话 陌 陌 的 话 各 位 亲 爱 的 朋 友 们, 陌 陌 也 是 第 一 次 写 呢, 而 且 陌 陌 还 只 是 小 学 六 年 级 呢 所 以 还 请 各 位 善 解 人 意 的 朋 友 们 体 谅 体 谅 哈, 陌 陌 会 非 常 非 常 感 谢 各 位 善 解 人 意, 助 人 为 乐, 为 文 文 奉 献

More information

目 录 一 概 况... 3 二 针 对 2015 届 毕 业 生 开 展 的 就 业 工 作... 5 三 2015 届 毕 业 生 就 业 情 况 抽 样 调 查 分 析 ( 一 ) 用 人 单 位 类 型 情 况 ( 二 ) 专 业 对 口 率 ( 三 )

目 录 一 概 况... 3 二 针 对 2015 届 毕 业 生 开 展 的 就 业 工 作... 5 三 2015 届 毕 业 生 就 业 情 况 抽 样 调 查 分 析 ( 一 ) 用 人 单 位 类 型 情 况 ( 二 ) 专 业 对 口 率 ( 三 ) 中 山 大 学 南 方 学 院 2015 届 毕 业 生 就 业 质 量 报 告 2015 年 12 月 目 录 一 概 况... 3 二 针 对 2015 届 毕 业 生 开 展 的 就 业 工 作... 5 三 2015 届 毕 业 生 就 业 情 况 抽 样 调 查 分 析... 13 ( 一 ) 用 人 单 位 类 型 情 况... 13 ( 二 ) 专 业 对 口 率... 14 ( 三

More information

項目詳情書

項目詳情書 集 思 公 益 幸 福 广 东 支 持 妇 女 计 划 获 资 助 项 目 执 行 计 划 书 恭 喜! 贵 机 构 的 项 目 已 成 为 集 思 公 益 幸 福 广 东 支 持 妇 女 计 划 的 获 资 助 项 目 在 行 动 之 前 先 拟 定 详 细 计 划, 可 助 贵 机 构 更 顺 利 开 展 及 推 进 项 目 我 们 设 计 了 以 下 不 限 字 数 的 执 行 计 划 书 模

More information

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 年 教 学 工 作 安 排 2015 2016 学 年 第 二 学 期 教 学 工 作 计 划 二 O 一 六 年 三 月 十 日 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调

More information

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63> 一 九 八 八 年 创 刊 回 忆 与 研 究 第 十 六 辑 上 海 市 新 四 军 历 史 研 究 会 浙 东 浙 南 分 会 编 二 O 一 三 年 十 二 月 1 ( 封 面 折 页 字 ) 编 委 会 顾 问 丁 公 量 丁 柯 吴 文 达 汪 志 荣 戚 南 强 李 国 经 主 编 陈 晓 光 副 主 编 葛 奇 忠 方 思 朋 编 委 ( 按 姓 氏 笔 画 为 序 ) 方 思 朋 王

More information

萧山中学课程建设方案.doc

萧山中学课程建设方案.doc - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - 2 2 4 4 4 2 2 4 4 4 4 4 5 5 4 4 4 4 5 5 4 4 4 4 5 5 3 3 3 3 4(2) 4(2) 4(2) 4(2) 4 4 4 4 3 3 3 3 3 3 4 4 4 4 4(2) 4(2) 4(2) 4(2) 2 2 2 2 4 4 4 4 2 2 2 2 2

More information

( ) 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 121.2 117.5 125.8 122.0 130.9 126.8 135.4 131.5 140.3 136.0 144.9 140.2 153.5 147.9 160.6 154.7 165.1 160.3 167.7 163.9 169.3 165.8 169.6 166.7 170.0 167.8

More information

Microsoft Word - 9pinggb_A4.doc

Microsoft Word - 9pinggb_A4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 3 九 评 之 一 评 共 产 党 是 什 么... 4 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

Microsoft Word - 9pinggb_A4-f4.doc

Microsoft Word - 9pinggb_A4-f4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 4 前 言...5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面 目 录 理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 1 信 息 交 流 省 编 办 刘 维 寅 副 主 任 到 我 市 对 市 县 政 府 机 构 改 革 工 作 进 行 实 地 评 估 11 我 市 部 分 部 门 试 点 实 行 部 门 内 部 行 政 审 批 制 度 改 革 工 作 13 我 市 三 项 措 施 确 保 机 构 编 制 监 督 活 动 常 态 化 14 基 层

More information

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现 前 言 日 本 是 我 们 的 邻 国 文 献 可 考 的 两 国 关 系, 至 少 已 有 21 个 世 纪 古 代 日 本 文 化 的 发 展 晚 于 中 国, 而 日 本 民 族 却 能 在 固 有 文 化 的 基 础 上 对 外 国 文 化 采 取 选 择 吸 收 和 创 新 的 态 度, 形 成 自 己 独 特 的 文 化 封 建 末 期, 中 国 和 日 本 同 受 西 方 列 强 的

More information

2深化教育教学改革、创新人才培养模式

2深化教育教学改革、创新人才培养模式 高 职 物 流 管 理 专 业 人 才 培 养 模 式 的 创 新 与 实 践 1 李 选 芒 2 赵 居 礼 摘 要 高 职 物 流 管 理 专 业 人 才 培 养 模 式 改 革 的 重 点 是 明 确 专 业 培 养 目 标, 构 建 适 应 培 养 目 标 的 课 程 体 系, 营 造 职 业 技 术 训 练 的 教 环 境, 建 设 双 师 结 构 的 师 资 队 伍 陕 西 工 业 职

More information

,,,,,,,,,,,,,, :,,,, 1 ?,,, :,,,?,,?, :,,,,,,,,,? :,, :,,?, :??, :,!,, 2 ,,,,,,,,,,,,,,,,,?,,,,,,,,,,,,,, :, 3 !?!?,!,!,, :,,,,,,,,,,,,,,,,,,,,,,,,,? :??,, 4 ,,,,,,, :?, :,,,,,,,,,,,,,, 5 ,,,,,,,,,,,,,,,,,,,,,,,,,?,??

More information

Microsoft Word - 9pinggb_let.doc

Microsoft Word - 9pinggb_let.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 5 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到 附 件 :.014 年 实 习 生 进 出 实 习 单 位 用 车 方 案 南 京 医 科 大 学 014 年 6 月 实 习 学 生 进 出 实 习 单 位 用 车 计 划 教 务 处 编 014 年 6 月 5 日 实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名

More information

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A 分 级 基 金 入 门 1 概 述 分 级 基 金 是 指 通 过 对 基 金 收 益 分 配 的 安 排, 将 基 金 份 额 分 成 预 期 收 益 与 风 险 不 同 的 两 类 或 多 类 份 额, 并 将 其 中 一 类 份 额 或 多 类 份 额 上 市 进 行 交 易 的 结 构 化 证 券 投 资 基 金 通 常 所 谈 到 的 分 级 基 金 是 将 母 基 金 产 品 ( 可 以

More information

简报158期.doc

简报158期.doc 党 员 干 部 现 代 远 程 教 育 简 报 第 15 期 ( 总 第 158 期 ) 中 共 山 东 省 委 农 村 党 员 干 部 现 代 远 程 教 育 领 导 协 调 小 组 办 公 室 2012 年 10 月 31 日 喜 迎 十 八 大 威 海 市 组 织 开 展 系 列 主 题 宣 传 活 动 迎 接 党 的 十 八 大 一 是 发 挥 党 建 电 视 栏 目 作 用 强 化 宣 传

More information

Microsoft Word - 9pingb5_let.doc

Microsoft Word - 9pingb5_let.doc 九 評 共 產 黨 大 紀 元 系 列 社 論 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 錄 公 告 大 紀 元 發 表 系 列 社 論 九 評 共 產 黨... 3 九 評 之 一 評 共 產 黨 是 甚 麼... 4 前 言... 4 一. 以 暴 力 恐 怖 奪 取 和 維 持 政 權... 5 二. 以 謊 言 為 暴 力

More information

103.06.23退休權益.ppt [相容模式]

103.06.23退休權益.ppt [相容模式] 臺 中 市 政 府 人 事 處 不 可 不 知 之 退 休 權 益 1 大 綱 一 退 休 撫 卹 理 論 二 現 行 退 休 制 度 (85 制 ) 三 再 任 停 止 領 受 月 退 休 金 及 優 惠 存 款 四 案 例 分 享 五 公 務 人 員 退 休 撫 卹 法 草 案 (90 制 ) 六 公 務 人 員 保 險 法 103 年 1 月 29 日 修 法 七 結 語 一 退 休 撫 卹

More information

Microsoft Word - 1.《國文》試題評析.doc

Microsoft Word - 1.《國文》試題評析.doc 許 清 龍 老 師 試 題 評 析 國 文 試 題 評 析 / 命 中 事 實 100 學 年 度 私 醫 聯 招 的 國 文 科 考 題, 有 上 課 的 同 學 應 該 發 出 會 心 一 笑, 甚 至 狂 笑, 因 為 老 師 的 命 中 率 實 在 太 高 了 除 了 基 本 的 字 音 字 形 字 義 成 語 的 題 型 外, 時 序 的 題 型 考 了 干 支 判 別 題 目 完 全 可

More information

Ps22Pdf

Ps22Pdf ,,,,,,,,,,, ( ),,, :,,,, ",,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,,,,,,,, 1. 1. 1 (, ),,,,,,,,,,,, 2 ( ),,,,,,, ( ),,,, ( ),,,,,,,,,,, 3 1. 2,,,, ;, ;, ;,,,, ( ), :,,,,,,,,,, : 4 ,,,,,, ( / ),,,,,,,, ( ) ( ),,,,

More information

$%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%% :::; 7<9; %-%, 3$%$ :::;

$%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%% :::; 7<9; %-%, 3$%$ :::; $%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%%-- 7889 :::; 7

More information