VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

Size: px
Start display at page:

Download "VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2"

Transcription

1 VHDL (Statements)

2 VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

3 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment Statement) : Target <= ; Target := ; 3

4 (PROCESS) (Sub-programs) (PROCESS)(Sub-programs) := <= PROCESS PROCESS (Local) PROCESS (Architecture) (Block) 4

5 Target : MY_VARMY_SIG MY_VEC : MY_VEC(5) : MY_VEC(7 downto 4) Field: My_RECORD.A_FIELD : (MY_SIG1, MY_SIG2) 5

6 Target <= ; = ; 6

7 (Indexed)Target <= ; = ; () (Non-Computable) 7

8 (Sliced)Target <= ; = ; Target (Array) l 2(Computable) todownto 8

9 Field Target Field Target Field <= ; := ; 9

10 (Aggregate) Target (1) : (1 => 1, 2 => 2,..... N => N) <= ; (1 => 1, 2 => 2,..... N => N) := ; (2): (1, 2,, N) <= ; (1, 2,, N) := ; 10

11 (Sequential) v.s (Concurrent) (PROCESS) PROCESS VHDL(Subprogram) PROCESS 11

12 12

13 (PROCESS) (Concurrent Signal Assignment) (Block Statement) (Component Instatiations) (Generate Statement) (Procedure Call) 13

14 VHDL(PROCESS) (FunctionProcedure) (PROCESS) (V ariable Assignment) (Signal Assignment) IF CASE LOOP NEXT EXIT RETURN WAIT NULL 14

15 (Concurrent Signal Assignment) (PROCESS) () (Conditional Signal Assignment) (Selected Signal Assignment) 15

16 (Direct Signal Assignment) <= ; 16

17 (Conditional Signal Assignment) WHEN...ELSE when...else A <= B when () else C; AB AC WHEN...ELSE (Decoder) 17

18 WHEN...ELSE Y <= A when (A) else B when (A) else..... M when (M) else N; Y (Priority)A B... ( N) 18

19 (Selected Signal Assignment) WITH...SELECT...WHEN with...select...whenwith...select...when with select Y <= A when T, B when U,..... N when ; WITH...SELECT...WHEN WHENWHEN (Mutually Exclusive) OTHERS 19

20 PROCESS 1 PROCESSVHDL (Behavior) [:] process [()] {PROCESS} begin {PROCESS()} end process [:]; 20

21 PROCESS 2 PROCESS () PROCESS(Sensitivity List) PROCESS(Evaluate) PROCESSWAITPROCESS WAIT VHDL PROCESS VHDL PROCESS 21

22 PROCESS 3 PROCESS PROCESS (Local Area): USE (Procedures and Functions) 22

23 PROCESS PROCESS WAITIF( EVENT...) IF (Latches) 23

24 IF IF (PROCESS)(Subprograms) 24

25 IF... END IF; if () then {;} end if; (Flip-Flop)(Latch)... process (CLK) begin if (CLK EVENT and CLK= 1 ) then Q <= D; end if; end process; 25

26 IF... ELSE... END IF; if () then {1;} else {2;} end if; (Multiplexer) process (S, A, B) begin if (S = 0 ) then Y <= A; else Y <= B; end if; end process; 26

27 IF ELSIF... ELSE... END IF; if (1) then {1;} elsif (2) then {2;} elsif (3) then {3;} elsif..... else {n;} end if; 27

28 (Nested)IF if (1) then if (2) then {A;} else {B;} end if; else {C;} end if; 28

29 CASE 1 CASE... WHEN (Process)(Subprograms) CASE 29

30 CASE 2 case is when 1 => 1; when 2 => 2;..... when others => N; end case; 11 l 22when others CASE end case; 30

31 LOOP VHDLLOOP VHDLLOOP FOR... LOOP WHILE LOOP LOOP-EXIT 31

32 FOR LOOP for I in ( downto ) loop end loop; for I in ( to ) loop end loop; FOR... LOOPLOOP(Index) FOR... LOOP LOOP for I in ( downto ) loop for I in ( to ) loop 32

33 WHILE-LOOP while loop end loop; end loop; WHILE... LOOP "" "" 33

34 LOOP LOOPLOOP (Iterations) LOOP EXITNEXT LOOP loop end loop; 34

35 NEXT NEXT ( ) next when " " "" 35

36 EXIT VHDLEXIT exit when ""( )"" 36

37 WAIT VHDLWAIT VHDLWAIT WAIT ON : wait on SIG_A, SIG_B; WAIT FOR : wait for 20 ns; WAIT UNTIL () : wait until CLK= 1 ; wait until CLK EVENT and CLK= 1 ; wait until not CLK STABLE and CLK= 1 ; 37

38 NULL NULLVHDL null; NULLCASECASE NULL 38

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= > A B?

! #$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= >  A B? ! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(&- 67789:;

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

38 47995529 威 福 髮 藝 店 桃 園 市 蘆 竹 區 中 山 里 福 祿 一 街 48 號 地 下 一 樓 50,000 獨 資 李 依 純 105/04/06 府 經 登 字 第 1059003070 號 39 47995534 宏 品 餐 飲 桃 園 市 桃 園 區 信 光 里 民

38 47995529 威 福 髮 藝 店 桃 園 市 蘆 竹 區 中 山 里 福 祿 一 街 48 號 地 下 一 樓 50,000 獨 資 李 依 純 105/04/06 府 經 登 字 第 1059003070 號 39 47995534 宏 品 餐 飲 桃 園 市 桃 園 區 信 光 里 民 1 08414159 惠 鴻 眼 鏡 行 桃 園 市 中 壢 區 福 德 里 中 華 路 一 段 186 號 1 樓 30,000 獨 資 宋 耀 鴻 105/04/27 府 經 登 字 第 1059003866 號 2 17891110 承 元 冷 氣 空 調 工 程 行 桃 園 市 桃 園 區 中 德 里 國 際 路 1 段 98 巷 50 號 2 樓 之 4 200,000 獨 資 詹 安 平

More information

第5章修改稿

第5章修改稿 (Programming Language), ok,, if then else,(), ()() 5.0 5.0.0, (Variable Declaration) var x : T x, T, x,,,, var x : T P = x, x' : T P P, () var x:t P,,, yz, var x : int x:=2. y := x+z = x, x' : int x' =2

More information

Go构建日请求千亿微服务最佳实践的副本

Go构建日请求千亿微服务最佳实践的副本 Go 构建 请求千亿级微服务实践 项超 100+ 700 万 3000 亿 Goroutine & Channel Goroutine Channel Goroutine func gen() chan int { out := make(chan int) go func(){ for i:=0; i

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Microsoft Word - 1HF12序.doc

Microsoft Word - 1HF12序.doc 每 天 早 晨 水 果 日 報 的 頭 條, 總 有 瘋 狂 的 肥 皂 劇 在 現 實 社 會 中 上 演 著, 諸 如 友 寄 隆 輝 毆 打 計 程 車 司 機 案 014 貪 瀆 案 黑 暗 騎 士 掃 射 案 ( 美 國 ) 李 宗 瑞 淫 照 外 洩 案 等, 太 多 太 多 不 可 思 議 的 刑 事 個 案 都 活 生 生 地 搬 上 現 實 世 界 演 出 而 這 也 說 明 了

More information

Microsoft Word - 讀報看科普─人體篇_橫_.doc

Microsoft Word - 讀報看科普─人體篇_橫_.doc 教 學 緣 起 在 引 領 學 生 進 行 讀 報 心 得 分 享 與 批 判 思 考 時, 發 現 學 生 普 遍 對 科 學 知 識 性 文 章 興 趣 缺 缺 ; 再 者, 近 年, 國 小 高 年 級 課 本 選 讀 科 普 文 章, 但 學 生 學 習 往 往 不 得 其 所, 無 法 融 入 課 文 中 因 此, 教 學 者 從 國 語 日 報 中 選 了 一 些 較 貼 近 生 活 的

More information

Microsoft Word - 2B802內文.doc

Microsoft Word - 2B802內文.doc 行 政 法 導 讀 001 行 政 法 導 讀 大 綱 序 言 壹 行 政 法 解 題 思 維 貳 行 政 法 選 擇 題 概 覽 參 行 政 法 常 考 爭 點 一 考 題 趨 勢 二 行 政 法 考 試 上 所 關 心 的 重 點 序 言 一 行 政 法 並 不 難 行 政 法 科 目 考 題 內 容 可 以 說 是 包 羅 萬 象, 考 生 要 能 夠 精 確 掌 握 實 務 上 各 種 領

More information

鍟嗗搧瑙傚療鈥㈤挗鏉

鍟嗗搧瑙傚療鈥㈤挗鏉 年 报 食 用 油 可 期 稳 定 改 善 稳 定 有 余, 油 脂 将 继 续 表 现 库 存 压 力 和 高 价 值 化 价 区 的 对 抗 性 投 资 机 会 更 多 是 油 脂 内 部 结 构 以 及 其 对 粕 类 相 对 强 弱 的 变 动 同 时 有 菜 籽 油 和 棕 榈 油 的 改 善 可 预 期 相 较 于 其 它 大 多 数 商 品 的 表 现, 油 脂 系 在 2015 年

More information

席 远 杨 一 人 了, 正 当 她 开 枪 时 却 发 现 子 弹 没 了 该 死, 只 能 赤 手 空 拳 了 洛 水 云 与 席 远 杨 交 起 手 来, 洛 水 云 出 手 招 招 致 命 想 那 席 远 杨 也 不 是 泛 泛 之 辈, 很 快 掌 握 了 洛 水 云 出 招 路 数 看

席 远 杨 一 人 了, 正 当 她 开 枪 时 却 发 现 子 弹 没 了 该 死, 只 能 赤 手 空 拳 了 洛 水 云 与 席 远 杨 交 起 手 来, 洛 水 云 出 手 招 招 致 命 想 那 席 远 杨 也 不 是 泛 泛 之 辈, 很 快 掌 握 了 洛 水 云 出 招 路 数 看 美 人 洛 水 云 / 作 者 : 慕 橙 子 第 一 卷 第 一 章 : 惨 死 睁 开 双 眼, 洛 水 云 马 上 闭 上, 再 睁 开, 又 闭 上 如 此 反 复 几 次 之 后, 洛 水 云 确 认 自 己 不 是 在 做 梦, 她 是 真 实 的 躺 在 床 上 这 究 竟 是 怎 么 回 事, 她 不 是 死 了 么? 是 谁 救 了 她 么? 如 果 她 被 救, 那 席 远 杨

More information

東區校園中法治教育種子師資教學研習營

東區校園中法治教育種子師資教學研習營 1 錄 錄 2 3 年 律 立 蓮 理 理 行 年 例 理 念 念 力 說 參 念 律 說 老 律 不 律 念 參 參 兩 力 參 兩 4 行 年 蓮 行 兩 見 參 律 行 說 論 兩 行 狀 參 參 蓮 蘭 列 律 年 律 理 律 年 參 行 行 兩 行 行 參 聯 參 聯 行 行 理 來 5 列 利 律 論 例 老 老 狀 老 老 了 利 老 索 老 行 不 老 錄 6 老 尿 例 律 留 量

More information

閱 讀 素 材 V.S 分 組 方 式 的 差 異 化 教 學 工 具 表 班 級 :( ) 閱 讀 素 材 V.S 分 組 方 式 獨 立 閱 讀 夥 伴 閱 讀 ( 同 質 性 ) 夥 伴 閱 讀 ( 異 質 性 ) 友 善 陪 伴 虛 心 受 教 國 語 日 報 新 聞 生 活 文 藝 兒 童

閱 讀 素 材 V.S 分 組 方 式 的 差 異 化 教 學 工 具 表 班 級 :( ) 閱 讀 素 材 V.S 分 組 方 式 獨 立 閱 讀 夥 伴 閱 讀 ( 同 質 性 ) 夥 伴 閱 讀 ( 異 質 性 ) 友 善 陪 伴 虛 心 受 教 國 語 日 報 新 聞 生 活 文 藝 兒 童 差 異 化 教 學 在 老 梅 103 年 12 月 差 異 化 教 學 是 老 師 對 於 學 習 者 需 求 的 回 應, 這 句 話 雖 然 動 人, 但 要 瞭 解 每 個 學 生 不 同 的 需 求 並 予 以 回 應, 則 在 教 學 上 需 要 不 斷 的 嘗 試 觀 察 與 調 整, 老 師 不 僅 需 要 高 度 的 專 業 敏 銳 的 觀 察 十 足 的 創 意 等 等, 更 重

More information

合金投资年报正文.PDF

合金投资年报正文.PDF 1999 1 1999 2. 3. 4. 5. 2 1999 3 1999 (1) 4 1999 5 1999 6 1999 7 1999 8 1999 9 1999 10 1999 11 1999 12 1999 13 1999 14 1999 15 1999 16 1999 17 1999 18 1999 19 1999 20 1999 21 1999 22 1999 23 1999 24 1999

More information

从 宾 馆 到 又 一 城 是 十 五 分 钟, 从 又 一 城 到 邵 逸 夫 是 十 分 钟, 去 时 一 路 上 坡 很 辛 苦, 回 时 一 路 下 坡 很 轻 松, 很 像 上 小 学 时 的 心 情, 这 是 最 初 几 天 最 深 的 感 受 有 段 时 间 很 少 走 校 内 的 路

从 宾 馆 到 又 一 城 是 十 五 分 钟, 从 又 一 城 到 邵 逸 夫 是 十 分 钟, 去 时 一 路 上 坡 很 辛 苦, 回 时 一 路 下 坡 很 轻 松, 很 像 上 小 学 时 的 心 情, 这 是 最 初 几 天 最 深 的 感 受 有 段 时 间 很 少 走 校 内 的 路 那 城 那 校 那 景 香 港 访 学 印 象 刘 斌 时 间 过 得 真 快, 一 转 眼 从 香 港 回 来 一 个 多 月 了 前 两 天 走 在 路 上, 看 到 一 个 逆 行 的 车 剐 了 路 人, 双 方 在 路 边 吵 得 不 可 开 交, 突 然 想 起 有 些 地 方 的 交 规 与 大 陆 不 一 样, 这 车 在 香 港 就 算 是 正 常 行 驶 了 于 是, 香 港,

More information

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 年 教 学 工 作 安 排 2015 2016 学 年 第 二 学 期 教 学 工 作 计 划 二 O 一 六 年 三 月 十 日 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调

More information

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63> 一 九 八 八 年 创 刊 回 忆 与 研 究 第 十 六 辑 上 海 市 新 四 军 历 史 研 究 会 浙 东 浙 南 分 会 编 二 O 一 三 年 十 二 月 1 ( 封 面 折 页 字 ) 编 委 会 顾 问 丁 公 量 丁 柯 吴 文 达 汪 志 荣 戚 南 强 李 国 经 主 编 陈 晓 光 副 主 编 葛 奇 忠 方 思 朋 编 委 ( 按 姓 氏 笔 画 为 序 ) 方 思 朋 王

More information

萧山中学课程建设方案.doc

萧山中学课程建设方案.doc - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - 2 2 4 4 4 2 2 4 4 4 4 4 5 5 4 4 4 4 5 5 4 4 4 4 5 5 3 3 3 3 4(2) 4(2) 4(2) 4(2) 4 4 4 4 3 3 3 3 3 3 4 4 4 4 4(2) 4(2) 4(2) 4(2) 2 2 2 2 4 4 4 4 2 2 2 2 2

More information

( ) 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 121.2 117.5 125.8 122.0 130.9 126.8 135.4 131.5 140.3 136.0 144.9 140.2 153.5 147.9 160.6 154.7 165.1 160.3 167.7 163.9 169.3 165.8 169.6 166.7 170.0 167.8

More information

Microsoft Word - 9pinggb_A4.doc

Microsoft Word - 9pinggb_A4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 3 九 评 之 一 评 共 产 党 是 什 么... 4 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

Microsoft Word - 9pinggb_A4-f4.doc

Microsoft Word - 9pinggb_A4-f4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 4 前 言...5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面 目 录 理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 1 信 息 交 流 省 编 办 刘 维 寅 副 主 任 到 我 市 对 市 县 政 府 机 构 改 革 工 作 进 行 实 地 评 估 11 我 市 部 分 部 门 试 点 实 行 部 门 内 部 行 政 审 批 制 度 改 革 工 作 13 我 市 三 项 措 施 确 保 机 构 编 制 监 督 活 动 常 态 化 14 基 层

More information

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现 前 言 日 本 是 我 们 的 邻 国 文 献 可 考 的 两 国 关 系, 至 少 已 有 21 个 世 纪 古 代 日 本 文 化 的 发 展 晚 于 中 国, 而 日 本 民 族 却 能 在 固 有 文 化 的 基 础 上 对 外 国 文 化 采 取 选 择 吸 收 和 创 新 的 态 度, 形 成 自 己 独 特 的 文 化 封 建 末 期, 中 国 和 日 本 同 受 西 方 列 强 的

More information

2深化教育教学改革、创新人才培养模式

2深化教育教学改革、创新人才培养模式 高 职 物 流 管 理 专 业 人 才 培 养 模 式 的 创 新 与 实 践 1 李 选 芒 2 赵 居 礼 摘 要 高 职 物 流 管 理 专 业 人 才 培 养 模 式 改 革 的 重 点 是 明 确 专 业 培 养 目 标, 构 建 适 应 培 养 目 标 的 课 程 体 系, 营 造 职 业 技 术 训 练 的 教 环 境, 建 设 双 师 结 构 的 师 资 队 伍 陕 西 工 业 职

More information

,,,,,,,,,,,,,, :,,,, 1 ?,,, :,,,?,,?, :,,,,,,,,,? :,, :,,?, :??, :,!,, 2 ,,,,,,,,,,,,,,,,,?,,,,,,,,,,,,,, :, 3 !?!?,!,!,, :,,,,,,,,,,,,,,,,,,,,,,,,,? :??,, 4 ,,,,,,, :?, :,,,,,,,,,,,,,, 5 ,,,,,,,,,,,,,,,,,,,,,,,,,?,??

More information

Microsoft Word - 9pinggb_let.doc

Microsoft Word - 9pinggb_let.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 5 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

Microsoft Word - 9pingb5_let.doc

Microsoft Word - 9pingb5_let.doc 九 評 共 產 黨 大 紀 元 系 列 社 論 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 錄 公 告 大 紀 元 發 表 系 列 社 論 九 評 共 產 黨... 3 九 評 之 一 評 共 產 黨 是 甚 麼... 4 前 言... 4 一. 以 暴 力 恐 怖 奪 取 和 維 持 政 權... 5 二. 以 謊 言 為 暴 力

More information

103.06.23退休權益.ppt [相容模式]

103.06.23退休權益.ppt [相容模式] 臺 中 市 政 府 人 事 處 不 可 不 知 之 退 休 權 益 1 大 綱 一 退 休 撫 卹 理 論 二 現 行 退 休 制 度 (85 制 ) 三 再 任 停 止 領 受 月 退 休 金 及 優 惠 存 款 四 案 例 分 享 五 公 務 人 員 退 休 撫 卹 法 草 案 (90 制 ) 六 公 務 人 員 保 險 法 103 年 1 月 29 日 修 法 七 結 語 一 退 休 撫 卹

More information

Microsoft Word - 1.《國文》試題評析.doc

Microsoft Word - 1.《國文》試題評析.doc 許 清 龍 老 師 試 題 評 析 國 文 試 題 評 析 / 命 中 事 實 100 學 年 度 私 醫 聯 招 的 國 文 科 考 題, 有 上 課 的 同 學 應 該 發 出 會 心 一 笑, 甚 至 狂 笑, 因 為 老 師 的 命 中 率 實 在 太 高 了 除 了 基 本 的 字 音 字 形 字 義 成 語 的 題 型 外, 時 序 的 題 型 考 了 干 支 判 別 題 目 完 全 可

More information

Ps22Pdf

Ps22Pdf ,,,,,,,,,,, ( ),,, :,,,, ",,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,,,,,,,, 1. 1. 1 (, ),,,,,,,,,,,, 2 ( ),,,,,,, ( ),,,, ( ),,,,,,,,,,, 3 1. 2,,,, ;, ;, ;,,,, ( ), :,,,,,,,,,, : 4 ,,,,,, ( / ),,,,,,,, ( ) ( ),,,,

More information

$%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%% :::; 7<9; %-%, 3$%$ :::;

$%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%% :::; 7<9; %-%, 3$%$ :::; $%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%%-- 7889 :::; 7

More information

# $# #!# # # # # # # %# # # &# # # # #! "

# $# #!# # # # # # # %# # # &# # # # #! "!! " "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #$% & (($ (($!$ ) #) )!* )!!+ # )!) "!+ ) #!+ + )!*!!, +!-!#!+,!( # $!+!!) ) "!, ) #! )!$ )! )!$!#! +!* " #!) #!)!( + *!* $!(!+! " $!$ # $!$ +!,!,!) ) &! " #

More information

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到 附 件 :.014 年 实 习 生 进 出 实 习 单 位 用 车 方 案 南 京 医 科 大 学 014 年 6 月 实 习 学 生 进 出 实 习 单 位 用 车 计 划 教 务 处 编 014 年 6 月 5 日 实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名

More information

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A 分 级 基 金 入 门 1 概 述 分 级 基 金 是 指 通 过 对 基 金 收 益 分 配 的 安 排, 将 基 金 份 额 分 成 预 期 收 益 与 风 险 不 同 的 两 类 或 多 类 份 额, 并 将 其 中 一 类 份 额 或 多 类 份 额 上 市 进 行 交 易 的 结 构 化 证 券 投 资 基 金 通 常 所 谈 到 的 分 级 基 金 是 将 母 基 金 产 品 ( 可 以

More information

简报158期.doc

简报158期.doc 党 员 干 部 现 代 远 程 教 育 简 报 第 15 期 ( 总 第 158 期 ) 中 共 山 东 省 委 农 村 党 员 干 部 现 代 远 程 教 育 领 导 协 调 小 组 办 公 室 2012 年 10 月 31 日 喜 迎 十 八 大 威 海 市 组 织 开 展 系 列 主 题 宣 传 活 动 迎 接 党 的 十 八 大 一 是 发 挥 党 建 电 视 栏 目 作 用 强 化 宣 传

More information

zt

zt !" !"!"!###!$ !!" #$ %& ( $( )% &# *%!($!#!!%%!"%! &!)%!&"!* #($!& # (!! ?!!""#!$ % # & %!"#$%&"" ()))*)))+ (,)-#*),+./,),),)0 12122222+ (3333333+ 4),),),)0 (,)5677,+ ()))89))+ :;;5 $ # ( )$ # ( ($

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Excel VBA Excel Visual Basic for Application

Excel VBA  Excel Visual Basic for Application Excel VBA Jun5,00 Sub 分頁 () Dim i As Integer Dim Cname As String Dim Code As Variant Set score=thisworkbook.sheets("sheet") Code=Array(" 專北一 "," 專北二 "," 專北三 "," 專桃園 "," 專桃竹 "," 專中苗 ", " 專台中 "," 專台南 ","

More information

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / >

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / > # # # # # # #,, # # # # # - #. /#. / 0 #. 0 4 1. 04 0 #. ##1 2-1 0 1. 04 # # # 3 4 0 4 3 < # : # 1 0 5 5 5 # # : # 4 678 #. 0 # 0. #678 # 0 678 678 # 0 # 4 0 : =>8 # 0 =>8 # 4.?@= # 0 0 # 4 # 0 : =>8 0

More information

科学计算的语言-FORTRAN95

科学计算的语言-FORTRAN95 科 学 计 算 的 语 言 -FORTRAN95 目 录 第 一 篇 闲 话 第 1 章 目 的 是 计 算 第 2 章 FORTRAN95 如 何 描 述 计 算 第 3 章 FORTRAN 的 编 译 系 统 第 二 篇 计 算 的 叙 述 第 4 章 FORTRAN95 语 言 的 形 貌 第 5 章 准 备 数 据 第 6 章 构 造 数 据 第 7 章 声 明 数 据 第 8 章 构 造

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Oracle高级复制冲突解决机制的研究

Oracle高级复制冲突解决机制的研究 Oracle dbms_rectifier_diff Oracle : eygle (eygle.com@gmail.com dbms_rectifier_diff Oracle dbms_rectifier_diff : http://www.eygle.com/archives/2005/01/eoadbms_rectifi.html DIFFERENCES Oracle dbms_rectifier_diff.differences

More information

“追问”技巧在面试中的应用

“追问”技巧在面试中的应用 目 录 追 问 技 巧 在 面 试 中 的 应 用 10 条 面 试 技 巧 办 公 室 面 试 礼 仪 常 见 面 试 错 误 看 看 主 考 管 会 犯 什 么 错 误 从 面 试 中 看 外 企 的 用 人 理 念 国 企 与 外 企 在 人 才 招 聘 方 面 的 不 同 之 处 毕 业 生 面 试 四 忌 初 入 职 场 者 谏 -- 习 惯 篇 从 一 次 面 试 中 谈 面 试 技 巧

More information

婚姻與生育初探

婚姻與生育初探 2002 10 2002 10 2592 49 43 2549 1 1. 3.56.5 2.6% 3.76.3 35% 65% 3.5:6.5 35 46 39.3%60.7% 35 35 3 55 18-21 22-25 26-30 31-35 36-40 41-45 46-50 39.6 42.2 36.4 40.7 34.2 34 36.3 60.4 57.8 63.6 59.3 65.8 66

More information

Visual Basic D 3D

Visual Basic D 3D Visual Basic 2008 2D 3D 6-1 6-1 - 6-2 - 06 6-2 STEP 1 5-2 (1) STEP 2 5-3 (2) - 6-3 - Visual Basic 2008 2D 3D STEP 3 User1 6-4 (3) STEP 4 User1 6-5 (4) - 6-4 - 06 STEP 5 6-6 (5) 6-3 6-3-1 (LoginForm) PictureBox1

More information

表 1 96 年 全 民 健 保 各 年 齡 組 門 診 申 報 件 數 單 位 : 萬 件 % 年 齡 組 合 計 男 女 件 數 占 率 件 數 占 率 件 數 占 率 合 計 33,760 100.00 15,088 100 18,672 100.00 0-9 歲 4,749 14.07 2,

表 1 96 年 全 民 健 保 各 年 齡 組 門 診 申 報 件 數 單 位 : 萬 件 % 年 齡 組 合 計 男 女 件 數 占 率 件 數 占 率 件 數 占 率 合 計 33,760 100.00 15,088 100 18,672 100.00 0-9 歲 4,749 14.07 2, 警 察 高 齡 化 及 性 別 比 例 對 警 力 影 響 之 探 討 壹 前 言 警 察 工 作 責 任 重, 事 務 繁 雜, 具 有 辛 勞 性 危 險 性 高 壓 性 及 全 年 無 休 之 特 性, 尤 其 外 勤 員 警 夜 間 或 深 夜 執 行 取 締 酒 駕 擴 大 臨 檢 春 風 青 春 查 毒 等 專 案, 需 耗 費 大 量 體 力, 高 齡 化 所 帶 來 的 體 力 不

More information

untitled

untitled 說 參 例 邏 邏 1. 說 2. 數 數 3. 8 4. 理念 李 龍老 立 1. 理 料 2. 理 料 3. 數 料 4. 流 邏 念 5. 良 6. 讀 行 行 7. 行 例 來 邏 1. 說 說 識 量 2. 說 理 類 3. 數 數 念 4. 令 5. 良 6. 流 邏 念 7. 說 邏 理 力 1. 2. 3. 4. 5. 列 念 1 參 1. ( Visual Basic 例 ) (1)

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

zt

zt ! " " " " " " " " " " !" %$$#! " "& ((! "!"#!"!" #!#$ "#$!$ "$!"##!"$!!"#!"!" % #$%" % # "% &!!!& ()*+,,-!& ()*+,,-*! "!,-!,-* "!)&*+,,-!)&*+,,-* "&(!$%!"! &!& ()&0,;!/) (&-:A 2-1,;!/) +2(192>*.) /0-1

More information

Fuzzy GP

Fuzzy GP : 林 理論 數 論 1 率 2 類,, 金流量 金 利 數 益,, 3 不 異 (Multi- Valued) (Single-Valued) 數 數 數 (Local Optimum) (Global Optimum) 4 (Multi-valued) (Non-linear) (Self-learning) 5 (Genetic Programming, GP) GP 1. 亂數 2. (individuals)

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc)

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc) : TBFAT-G5MP-MN004-11 1 GX Series PLC Program Manual 2 GX Series PLC Program Manual Contents Contents...3 1... 1-1 1.1... 1-2 1.2... 1-3 1.2.1... 1-3 1.2.2... 1-4 1.2.3... 1-4 1.2.4... 1-6 1.3... 1-7 1.3.1...

More information

1. 逾 期 註 冊 與 退 學 ( 大 法 官 釋 字 第 382 563 號 解 釋 參 照 ) 2. 大 學 之 選 課 糾 紛 ( 大 法 官 釋 字 第 380 450 號 解 釋 參 照 ) 3. 國 籍 切 結 書 之 問 題 ( 二 ) 教 學 研 究 與 推 廣 事 務 4. 教

1. 逾 期 註 冊 與 退 學 ( 大 法 官 釋 字 第 382 563 號 解 釋 參 照 ) 2. 大 學 之 選 課 糾 紛 ( 大 法 官 釋 字 第 380 450 號 解 釋 參 照 ) 3. 國 籍 切 結 書 之 問 題 ( 二 ) 教 學 研 究 與 推 廣 事 務 4. 教 校 園 法 律 問 題 及 其 因 應 ~ 以 學 生 輔 導 為 中 心 臺 灣 高 雄 地 方 法 院 法 官 庭 長 楊 富 強 壹 溫 馨 友 善 校 園 之 建 立 與 現 行 校 園 事 件 之 危 機 : 代 前 言 一 從 大 法 官 釋 字 第 603 號 ( 按 捺 指 紋 案 ) 談 起 ( 一 ) 隱 私 權 及 人 格 發 展 自 由 之 憲 法 保 障 ( 二 ) 按 捺

More information

6. 4 5 6 7 8 9 10 11 ...1... 1...1...1...2... 3...3...5...9... 11...11...11...12...12... 13...13...14... 16...16...19...20 I 1 ---------------------------------------------------3 2 ------------------------------------------------6

More information

河 北 省 中 等 职 业 学 校 学 生 数 控 技 术 应 用 专 业 技 能 大 赛 执 委 会 2011 年 5 月 3 日 目 录 一 大 赛 规 程 1 二 组 织 机 构 11 三 比 赛 日 程 13 四 比 赛 规 则 17 ( 一 ) 领 队 指 导 教 师 须 知.17 ( 二

河 北 省 中 等 职 业 学 校 学 生 数 控 技 术 应 用 专 业 技 能 大 赛 执 委 会 2011 年 5 月 3 日 目 录 一 大 赛 规 程 1 二 组 织 机 构 11 三 比 赛 日 程 13 四 比 赛 规 则 17 ( 一 ) 领 队 指 导 教 师 须 知.17 ( 二 2011 年 华 中 数 控 杯 河 北 省 中 等 职 业 学 校 学 生 数 控 技 术 应 用 专 业 技 能 比 赛 参 赛 指 南 主 办 单 位 : 河 北 省 教 育 厅 河 北 省 人 力 资 源 和 社 会 保 障 厅 河 北 省 工 业 和 信 息 化 厅 承 办 单 位 : 石 家 庄 市 教 育 局 石 家 庄 市 职 业 技 术 教 育 协 办 单 位 : 武 汉 华 中

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f 27 1 Vol.27 No.1 CEMENTED CARBIDE 2010 2 Feb.2010!"!!!!"!!!!"!" doi:10.3969/j.issn.1003-7292.2010.01.011 OPC 1 1 2 1 (1., 412008; 2., 518052), OPC, WinCC VB,,, OPC ; ;VB ;WinCC Application of OPC Technology

More information

Microsoft Word - 目次範例-catalog016204.doc

Microsoft Word - 目次範例-catalog016204.doc 財 政 經 濟 篇 行 政 規 則 行 政 院 金 融 監 督 管 理 委 員 會 令 中 華 民 國 99 年 10 月 25 日 金 管 銀 法 字 第 09910003990 銀 行 辦 理 結 構 型 商 品 所 收 本 金 性 質 及 會 計 處 理 之 相 關 事 項 規 定 如 下 : 一 結 構 型 商 品 所 收 之 本 金 不 視 為 存 款, 該 本 金 於 計 算 銀 行 法

More information

ebook14-4

ebook14-4 4 TINY LL(1) First F o l l o w t o p - d o w n 3 3. 3 backtracking parser predictive parser recursive-descent parsing L L ( 1 ) LL(1) parsing L L ( 1 ) L L ( 1 ) 1 L 2 L 1 L L ( k ) k L L ( 1 ) F i r s

More information

Microsoft Word - 小心翼翼的二十一點N.doc

Microsoft Word - 小心翼翼的二十一點N.doc 投 稿 類 別 : 資 訊 類 篇 名 : 小 心 翼 翼 的 二 十 一 點 作 者 : 陳 鈺 文 國 立 瑞 芳 高 級 工 業 職 業 學 校 資 訊 二 李 伯 謙 國 立 瑞 芳 高 級 工 業 職 業 學 校 資 訊 二 胡 家 媛 國 立 瑞 芳 高 級 工 業 職 業 學 校 資 訊 二 指 導 老 師 : 周 曉 玲 老 師 陳 思 亮 主 任 壹 前 言 一 研 究 動 機 平

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3CAD0BCC6CBE3BBFAB5C8BCB6BFBCCAD4C8FDBCB6BFBCCAD4B4F3B8D95FBDA8D2E9B8E55F5F303632352E646F63>

<4D6963726F736F667420576F7264202D20C9CFBAA3CAD0BCC6CBE3BBFAB5C8BCB6BFBCCAD4C8FDBCB6BFBCCAD4B4F3B8D95FBDA8D2E9B8E55F5F303632352E646F63> 上 海 市 高 等 学 校 计 算 机 等 级 考 试 ( 三 级 ) 考 试 大 纲 -- 建 议 稿 -- 2007-6-25 25 目 录 上 海 市 高 等 学 校 计 算 机 等 级 考 试 三 级 总 体 说 明 -----------------1 三 级 ( 计 算 机 系 统 与 网 络 技 术 ) 考 试 大 纲 ---------------------2 三 级 ( 管 理

More information

四川省普通高等学校

四川省普通高等学校 四 川 省 普 通 高 等 学 校 计 算 机 应 用 知 识 和 能 力 等 级 考 试 考 试 大 纲 (2013 年 试 行 版 ) 四 川 省 教 育 厅 计 算 机 等 级 考 试 中 心 2013 年 1 月 目 录 一 级 考 试 大 纲 1 二 级 考 试 大 纲 6 程 序 设 计 公 共 基 础 知 识 6 BASIC 语 言 程 序 设 计 (Visual Basic) 9

More information

Microsoft Word - 2CA13內文.doc

Microsoft Word - 2CA13內文.doc 006 公 民 - 歷 屆 試 題 全 解 答 案 是 完 全 正 確 的? : 能 源 使 用 愈 多, 除 了 帶 來 經 濟 成 長 外, 相 對 的, 也 會 帶 來 負 面 的 環 保 問 題 我 們 在 發 展 經 濟 的 過 程 中, 若 不 能 兼 顧 環 境 資 源 的 保 育, 將 賠 上 後 代 子 孫 的 生 存 環 境, 這 是 下 列 那 一 種 理 念? 比 較 利 益

More information

Microsoft Word - 序+目錄.doc

Microsoft Word - 序+目錄.doc ORACLE 公 司 的 專 業 認 證 是 當 今 市 場 上 公 認 價 值 最 高, 最 具 鑑 別 力 的 認 證 目 前 全 球 有 超 過 50 萬 以 上 人 已 透 過 Oracle Certification Program 取 得 專 業 認 證, 成 為 職 場 新 鮮 人 的 首 選 認 證, 它 具 有 以 下 三 大 優 勢 : 一 更 多 的 工 作 機 會, 二 更

More information

untitled

untitled Fortran Chapter 7 Subroutine ( ) and Function 7-1 subroution 行 不 行 來 行 The general form of a subroutine is subroutine subroutine_name ( argument_list) (Declaration section) (Execution section) retrun end

More information

untitled

untitled SPSS 1-1 1-2 SPSS 1-3 1-4 1-5 1-1 1. (independent variable) 2. (dependent variable) (response) 3. (case) 4. (population) 5. (sample) 6. (data) 7. (level) 8. (covariate) 9. (missing data) 2 SPSS 01 1-2

More information

WWW PHP

WWW PHP WWW PHP 2003 1 2 function function_name (parameter 1, parameter 2, parameter n ) statement list function_name sin, Sin, SIN parameter 1, parameter 2, parameter n 0 1 1 PHP HTML 3 function strcat ($left,

More information

Ps22Pdf

Ps22Pdf A B C D A B C D A B C D a a b c x x x x x x x x x x x x x x x x x a b c x a x x x x x x x x x x a b a b a b x x x x x x x x x x x x A B C A B C A B A B A x B C x D A B C a b c a b x x x x x x x A B A

More information

第三节 软件测试的过程与策略

第三节 软件测试的过程与策略 ...1...4...9...17...25...29...34...40...46...55...65...73 1 2 3 4 5 6 7 8 9 10 11 1 12 13 1 ABCD 2 A B C D 3 ABCD 4 A1/2 B1/3 C1/4 D2/3 5 % A20 B30 C40 D50 6 A B C D 7 A B C D / 8 A B C D 9 A B C D 10

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

第 二 章 校 草 出 现 圣 迪 亚 学 院, 一 所 远 近 闻 名 的 贵 族 学 院 它 的 知 名 度 就 好 像 猪 的 知 名 度 一 样, 无 人 不 知 无 人 不 晓 是 所 有 人 都 向 往 的 学 校 圣 迪 亚 学 院 是 以 欧 式 建 筑 风 格 为 主 的 大 门

第 二 章 校 草 出 现 圣 迪 亚 学 院, 一 所 远 近 闻 名 的 贵 族 学 院 它 的 知 名 度 就 好 像 猪 的 知 名 度 一 样, 无 人 不 知 无 人 不 晓 是 所 有 人 都 向 往 的 学 校 圣 迪 亚 学 院 是 以 欧 式 建 筑 风 格 为 主 的 大 门 乖 丫 头 的 冰 山 王 子 / 作 者 : 小 甜 第 一 章 遇 到 坏 人 呜 呜 呜 呜 在 一 个 僻 静 的 小 巷 子 里, 一 个 可 爱 的 女 生 蹲 在 地 上 弱 弱 的 哭 泣 着, 她 怎 么 那 么 倒 霉 啊! 因 为 怕 上 学 迟 到 所 以 走 近 路 没 想 到 还 碰 上 这 种 事 不 准 哭, 快 把 钱 拿 出 来 要 不 然 要 你 好 看 一 个

More information

Microsoft Word - 21??¡N??`?C?~??-1.doc, page Normalize ( Microsoft Word - 21ºÝ¤È¸`§C¦~¯Å-1.doc )

Microsoft Word - 21??¡N??`?C?~??-1.doc, page Normalize ( Microsoft Word - 21ºÝ¤È¸`§C¦~¯Å-1.doc ) 守 護 神 VS 幸 運 蛋 壹 設 計 理 念 農 曆 五 月 五 日, 就 是 民 間 所 稱 的 端 午 節, 有 關 端 午 節 的 傳 說 及 習 俗 不 勝 枚 舉, 而 最 為 一 般 大 眾 所 熟 知 的 莫 過 於 屈 原 投 江 的 傳 說 故 事 屈 原 是 西 元 前 三 世 紀 中 國 偉 大 的 愛 國 詩 人, 因 得 罪 了 王 公 貴 人 最 後 被 驅 逐 出

More information

2 1999 9 21 2001 21 2001 7 20 90 2002 9 2 21 4 38 30 3 ~ 6 3 2004 12 Ⅰ 1!!!!!!!!!!!!!!!!!!! 2 1. 1 2!!!!!!!!!!!!!!! 1. 2 8!!!!!!!!!!!! 1. 3 19!!!!!!!!!!!!!!!!! 2!!!!!!!!!!!!!!!!!!! 26 2. 1 26!!!!!!!!!!!!

More information

第7章-并行计算.ppt

第7章-并行计算.ppt EFEP90 10CDMP3 CD t 0 t 0 To pull a bigger wagon, it is easier to add more oxen than to grow a gigantic ox 10t 0 t 0 n p Ts Tp if E(n, p) < 1 p, then T (n) < T (n, p) s p S(n,p) = p : f(x)=sin(cos(x))

More information

9202reply-s.doc

9202reply-s.doc 1 16 () (A) (B) (C) (D) B () B D (B) (D)22 (A) (B) (C) 5 12 C C 34 2 3 1. 89 42 (B) 2. 42 151 44 27 () () 69 79 89 (A) ( ) 1,803 2,039 2,217 (B) (/) 4.8 4.0 3.3 (C) 65 (%) 4.1 6.1 8.5 (D) (%) 9.9 15.8

More information

【结构化面试名师精品班2ATY15K002】讲义.docx

【结构化面试名师精品班2ATY15K002】讲义.docx 李 曼 卿 带 大 家 学 面 试 李 曼 卿 } 我 们 党 历 来 高 度 重 视 选 贤 任 能, 始 终 把 选 人 用 人 作 为 关 系 党 和 人 民 事 业 的 关 键 性 根 本 性 问 题 来 抓 好 干 部 要 做 到 信 念 坚 定 为 民 服 务 勤 政 务 实 敢 于 担 当 清 正 廉 洁 2013 年 6 月 28 日, 全 国 组 织 工 作 会 议 第 0 页 目

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

Perl

Perl Perl 磊 Goal Introduction The first perl program Basical coding style Variable Data structure Control structure Regular expression Lab Reference Outline The first perl program Just type this following string

More information

Oracle 4

Oracle 4 Oracle 4 01 04 Oracle 07 Oracle Oracle Instance Oracle Instance Oracle Instance Oracle Database Oracle Database Instance Parameter File Pfile Instance Instance Instance Instance Oracle Instance System

More information

技 巧 5: 避 免 除 以 0 的 運 算 在 做 除 的 運 算 時, 先 檢 查 除 數 的 數 值, 避 免 有 除 以 0 的 情 況 若 運 算 中 除 數 為 0,SAS 會 在 LOG 中 註 記 提 醒 並 將 運 算 結 果 設 定 為 遺 漏 值, 減 慢 程 式 的 執 行

技 巧 5: 避 免 除 以 0 的 運 算 在 做 除 的 運 算 時, 先 檢 查 除 數 的 數 值, 避 免 有 除 以 0 的 情 況 若 運 算 中 除 數 為 0,SAS 會 在 LOG 中 註 記 提 醒 並 將 運 算 結 果 設 定 為 遺 漏 值, 減 慢 程 式 的 執 行 提 升 SAS 效 率 的 小 技 巧 ( 二 ) 統 計 分 析 師 嚴 友 君 在 使 用 SAS 的 時 候, 效 率 的 考 量 除 了 程 式 運 行 的 時 間, 還 包 括 資 料 佔 用 的 空 間 暫 存 記 憶 體 的 使 用 量 程 式 的 長 度 與 易 讀 性 等 等 以 下 介 紹 一 些 初 學 者 容 易 應 用, 且 在 討 論 使 用 SAS 處 理 分 析 資

More information

(a) 82

(a) 82 2015 20153102015410 2015 2003312003912013 718 200462200471 100,000 2012618 50% 81 2015119 (a) 82 (b) (c) 18 (i) 50% (ii) 50% (a) 50%(b) 50%(c) (iii) 19 144 145147 148 100,000 1,000,00010% 83 100,000 1,000,00010%

More information

全国计算机技术与软件专业技术资格(水平)考试

全国计算机技术与软件专业技术资格(水平)考试 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 2008 年 上 半 年 程 序 员 下 午 试 卷 ( 考 试 时 间 14:00~16:30 共 150 分 钟 ) 试 题 一 ( 共 15 分 ) 阅 读 以 下 说 明 和 流 程 图, 填 补 流 程 图 中 的 空 缺 (1)~(9), 将 解 答 填 入 答 题 纸 的 对 应 栏 内 [ 说 明

More information

C++ 程式設計

C++ 程式設計 C C 料, 數, - 列 串 理 列 main 數串列 什 pointer) 數, 數, 數 數 省 不 不, 數 (1) 數, 不 數 * 料 * 數 int *int_ptr; char *ch_ptr; float *float_ptr; double *double_ptr; 數 (2) int i=3; int *ptr; ptr=&i; 1000 1012 ptr 數, 數 1004

More information