D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

Size: px
Start display at page:

Download "D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK ="

Transcription

1 VHDL (Sequential Logic)

2 D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q <= D; 2

3 D-Type entity DFF_SR is nrst :in std_logic; CLK :in std_logic; D :in std_logic; Q :out std_logic); end DFF_SR; architecture a of DFF_SR is process(nrst,clk,d) if CLK'EVENT and CLK = '1' then if nrst = '0' then Q <= '0'; else Q <= D; 3

4 D-Type entity DFF_AR is nrst :in std_logic; CLK :in std_logic; D :in std_logic; Q :out std_logic); end DFF_AR; architecture a of DFF_AR is process(nrst,clk,d) if nrst = '0' then Q <= '0'; elsif CLK'EVENT and CLK = '1' then Q <= D; 4

5 D-Type entity DFF_PR is nrst :in std_logic; npreset :in std_logic; CLK :in std_logic; D :in std_logic; Q :out std_logic); end DFF_PR; architecture a of DFF_PR is process(nrst,npreset,clk,d) if nrst = '0' then Q <= '0'; elsif npreset = '0' then Q <= '1'; elsif CLK'EVENT and CLK = '1' then Q <= D; 5

6 RS-Type entity RS_FF is nrst :in std_logic; nset :in std_logic; Q :out std_logic; nq :out std_logic); end RS_FF; architecture a of RS_FF is signal Q_S: std_logic; signal nq_s: std_logic; Q_S <= nrst nand nq_s; nq_s <= nset nand Q_S; Q <= Q_S; nq <= nq_s; 6

7 (Negative Level) entity LATCH is nena :in std_logic; D :in std_logic; Q :out std_logic); end LATCH; architecture a of LATCH is signal Q_S: std_logic; process(nena,d) if nena = '0' then Q_S <= D; else Q_S <= Q_S; Q <= Q_S; 7

8 T- entity TFF is T :in std_logic; CLK :in std_logic; Q_O :out std_logic); end TFF; architecture a of TFF is signal Q: std_logic; process(clk,t) if CLK'EVENT and CLK = '1' then if T = '1' then Q <= not(q); else Q <= Q; Q_O <= Q; 8

9 2 entity DIV2 is CLK_IN :in std_logic; CLK_OUT :out std_logic); end DIV2; architecture a of DIV2 is signal CLK_2: std_logic; process(clk_in) if CLK_IN'EVENT and CLK_IN = '1' then CLK_2 <= not(clk_2); CLK_OUT <= CLK_2; 9

10 D- entity DFF_ena is D :in std_logic; CLK :in std_logic; Enable :in std_logic; Q :out std_logic); end DFF_ena; architecture a of DFF_ena is process(clk,d) if CLK'EVENT and CLK = '1' then if Enable = '1' then Q <= D; 10

11 entity SFT_PIPO is D_IN 0); CLK :in std_logic_vector(7 downto :in std_logic; :out std_logic_vector(7 downto D_OUT 0)); end SFT_PIPO; architecture a of SFT_PIPO is signal Q: std_logic_vector(7 downto 0); process(clk) if CLK'EVENT and CLK = '1' then Q <= D_IN; D_OUT <= Q; 11

12 entity SFT_PISO is D_IN :in std_logic_vector(7 downto 0); CLK :in std_logic; nload :in std_logic; D_OUT :out std_logic); end SFT_PISO; architecture a of SFT_PISO is signal Q: std_logic_vector(7 downto 0); process(nload,clk) if nload = '0' then Q <= D_IN; elsif CLK'EVENT and CLK = '1' then for I in 7 downto 1 loop Q(I-1) <= Q(I); end loop; process(nload,clk) if nload = '0' then D_OUT <= '0'; elsif CLK'EVENT and CLK = '1' then D_OUT <= Q(0); 12

13 / (Serial- In/Parallel-Out) entity SFT_SIPO is D_IN :in std_logic; CLK :in std_logic; D_OUT :out std_logic_vector(7 downto 0)); end SFT_SIPO; architecture a of SFT_SIPO is signal Q: std_logic_vector(7 downto 0); process(clk) if CLK'EVENT and CLK = '1' then Q(0) <= D_IN; for I in 1 to 7 loop Q(I) <= Q(I-1); end loop; D_OUT <= Q; 13

14 / (Serial- In/Serial-Out) entity SFT_SISO is D_IN :in std_logic; CLK :in std_logic; D_OUT :out std_logic); end SFT_SISO; architecture a of SFT_SISO is signal Q: std_logic_vector(7 downto 0); process(clk) if CLK'EVENT and CLK = '1' then Q(0) <= D_IN; for I in 1 to 7 loop Q(I) <= Q(I-1); end loop; D_OUT <= Q(7); 14

15 8 (Ripple Counter) entity rip_cnt8 is nclr :in std_logic; 0)); end rip_cnt8; architecture a of rip_cnt8 is component DIV2_AR CLK :in std_logic; Q_O :out std_logic_vector(7 downto CLK_IN :in std_logic; nclr :in std_logic; CLK_OUT :out std_logic); end component; signal Q: std_logic_vector(7 downto 0); FF1: DIV2_AR port map (CLK,nCLR,Q(0)); FF2_8: for I in 1 to 7 generate T1: DIV2_AR port map (Q(I-1),nCLR,Q(I)); end generate FF2_8; Q_O <= Q; 15

16 (Up Counter) use ieee.std_logic_unsigned.all; entity UPCNT8 is nclr :in std_logic; CLK :in std_logic; CO :out std_logic; Q_O :out std_logic_vector(7 downto 0)); end UPCNT8; architecture a of UPCNT8 is signal Q: std_logic_vector(7 downto 0); process(nclr,clk) if nclr = '0' then Q <= " "; CO <= '0'; elsif CLK'EVENT and CLK = '1' then if Q = " " then CO <= '1'; else CO <= '0'; Q <= Q + '1'; Q_O <= Q; 16

17 (Up/Down Counter) use ieee.std_logic_unsigned.all; entity UPDNCNT8 is nclr :in std_logic; CLK :in std_logic; UP_DN :in std_logic; --'1':Up Counter;'0':Down Counter; Q_O :out std_logic_vector(7 downto 0)); end UPDNCNT8; architecture a of UPDNCNT8 is signal Q: std_logic_vector(7 downto 0); process(nclr,clk) if nclr = '0' then Q <= " "; elsif CLK'EVENT and CLK = '1' then if UP_DN = '1' then Q <= Q + '1'; else Q <= Q - '1'; Q_O <= Q; 17

18 / (UD/Down Counter With Load) use ieee.std_logic_unsigned.all; entity UDLCNT8 is nclr :in std_logic; CLK :in std_logic; UP_DN :in std_logic; --'1':Up Counter;'0':Down Counter; nload :in std_logic; --Asychronous Load Data; D_IN : in std_logic_vector(7 downto 0); Q_O :out std_logic_vector(7 downto 0)); end UDLCNT8; architecture a of UDLCNT8 is signal Q: std_logic_vector(7 downto 0); process(nclr,clk) if nclr = '0' then Q <= " "; elsif nload = '0' then Q <= D_IN; elsif CLK'EVENT and CLK = '1' then if UP_DN = '1' then Q <= Q + '1'; else Q <= Q - '1'; Q_O <= Q; 18

19 (State Machine) (Finite State Machine FSM) 2 Moore Mealy Mealy (Glitch) 19

20 20

21 type STATE_TYPE is (State_A, State_B, ); signal Present_State: STATE_TYPE; signal Next_State: STATE_TYPE; 21

22 VHDL PROCESS PROCESS : PROCESS PROCESS : PROCESS PROCESS PROCESS PROCESS : PROCESS PROCESS PROCESS 22

23 Moore 23

24 Mealy 24

25 (State Encoding) One-Hot Gray S S S S

26 26

27 GEN 27

28 28

29 29

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

( ),,, ;,,,,,,,,,,,,,,,, 1 , :,,,,,,,,, :,,,, :,,,,,,,,,,,,,,, ;, ;,,,,,,,,,,,,,,, 2 ,,,,,,,,,,,,,,,,,,,??,,,,,,,,,,,,,,,,,,,,,,,,,,,, 3 ,,,,,,,,,,,,,,? :,?!,,,,,,,,,,,, 25 25,,,, 4 ,,!,?,,,,,, :?,,,,,

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

4.1 VHDL VHDL 4-1 a b & c 4-1 2

4.1 VHDL VHDL 4-1 a b & c 4-1 2 4.1 VHDL 4.2 VHDL 4.3 VHDL 4.4 VHDL 4.5 1 4.1 VHDL 4.1.1 VHDL 4-1 a b & c 4-1 2 ( 4-1 ) (1) a b c ( 1 ) (2) c=a b CPU VHDL 3 VHDL 4-2 a b & c a c b c a b 4-2 VHDL 4 1 ENTITY IS d0 & 1 q END d1 & sel 1

More information

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路 数字电路与系统设计 EDA 实验 VHDL 设计初步 主讲 : 杨明磊 Email: mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室 2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

1956 1950 1958 1958 1966 10 1978 1987 57 49 1949 2 2 62 1949 13 4 87 1949 51 9 1984 19 33 6129 1949 7 5 1987 2145 1987 9 99 1949 96 6 78 84 41 1 1949 6 2 4 2 24 14 44 1949 3 8 9 7 819 304 1949 4 4 2 2

More information

Microsoft Word - 中耳的主要疾病~中耳炎.doc

Microsoft Word - 中耳的主要疾病~中耳炎.doc 投 稿 類 別 : 生 物 類 篇 名 : 中 耳 的 主 要 疾 病 中 耳 炎 作 者 : 周 譽 積 市 立 大 理 高 中 高 307 班 李 宗 遠 市 立 大 理 高 中 高 307 班 林 岑 聿 市 立 大 理 高 中 高 307 班 指 導 老 師 : 牟 建 明 老 師 - 0 - 壹 前 言 中 耳 的 主 要 疾 病 中 耳 炎 一 研 究 目 的 我 們 常 聽 到 一 些

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

【第一类】

【第一类】 第 一 类 用 于 工 业 科 学 摄 影 农 业 园 艺 森 林 的 化 学 品, 未 加 工 人 造 合 成 树 脂, 未 加 工 塑 料 物 质, 肥 料, 灭 火 用 合 成 物, 淬 火 和 金 属 焊 接 用 制 剂, 保 存 食 品 用 化 学 品, 鞣 料, 工 业 用 粘 合 剂 [ 注 释 ] 本 类 主 要 包 括 用 于 工 业 科 学 和 农 业 的 化 学 制 品, 包

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

方法论篇--修改稿(更新).PDF

方法论篇--修改稿(更新).PDF 1.0 140 2000/03/17 2000/03/18 yyyy/mm/dd 2000/03/17 1.00 2001-8-28 2142 VHDL... 7 1... 7 2... 7 3... 7 4... 7 5... 7 5.1 VHDL... 7 5.1.1 Identifiers)... 8 5.1.2... 9 5.1.3... 9 5.1.4.... 10 5.1.5... 12

More information

untitled

untitled 1 EDA_VHDL / 1-1 QuartusII 1-2. QuartusII 1-3. 0 1-4. 7 1-5. 8 1-6. 1-7. 32 / 1-8. QuartusII 8 1-9. QuartusII 1-10. QuartusII 1-11. 8 16 1-12. 1-13. VHDL A/D 1-14. 1-15. D/A A/D 1-16 1-17 1-18 1-19 1-20

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

2012年报.xls

2012年报.xls 合 计 平 均 0.3560 0.4140-14.02 245091.50 227618.11 7.68 19544.36 19536.49 0.04 50289 51020 51317 51393 51436 600000 浦 发 银 行 2013-05-09 1.8330 1.4630 25.29 8295200 6791800 22.14 3418600 2728600 25.29 411643

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

附 件 : 北 京 交 通 大 学 2015 年 部 门 决 算 2016 年 8 月

附 件 : 北 京 交 通 大 学 2015 年 部 门 决 算 2016 年 8 月 北 京 交 通 大 学 关 于 公 开 2015 年 决 算 信 息 的 通 知 按 照 教 育 部 关 于 做 好 高 等 学 校 财 务 信 息 公 开 工 作 的 通 知 ( 教 财 2012 4 号 ) 教 育 部 关 于 进 一 步 做 好 高 等 学 校 财 务 信 息 公 开 工 作 的 通 知 ( 教 财 函 2013 96 号 ) 和 关 于 做 好 2015 年 决 算 公 开

More information

中山大学采取多种措施促进

中山大学采取多种措施促进 前 言 根 据 教 育 部 教 育 部 办 公 厅 关 于 编 制 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告 的 通 知 ( 教 学 厅 函 2013 25 号 ) 及 关 于 做 好 2014 年 高 校 毕 业 生 就 业 质 量 年 度 报 告 编 制 发 布 工 作 的 通 知 ( 教 学 司 函 2014 29 号 ) 精 神 和 广 东 省 教 育 厅 要 求, 我 校

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

untitled

untitled 論 FPGA FPGA External Step Motor Control Module 立 老 林 年 立 老 論 VHDL VHDL 了 論 老 了 度 老 立 老 不 老 都 度 行 流 VHDL 年 來 論 了 不 識 念 VHDL IEEE IEEE 1076 1993 年 度 VHDL 不 FPGACPLD 了 路 利 廉 FPGA 立 利 VHDL ( MAXPLUS) 路 (

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F

8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F 8 电梯控制器的设计 本节采用 VHDL 语言设计一个电梯控制器, 具备民用电梯的基本功能 8.1 设计要求 设计一个单轿厢电梯控制器, 该电梯可以控制电梯完成 10 个以下楼层的载客服务, 并具有以下功能 : ⑴. 每层电梯入口均设有电梯上下运行请求按钮, 轿厢内设有楼层选择开关 ⑵. 电梯具备提前关门和延时关门功能, 可根据乘客的请求进行时间调整 ⑶. 能够显示电梯的运行情况 楼层间的运行时间以及电梯所在楼层的等待时间

More information

十萬元以上採購、修繕

十萬元以上採購、修繕 學 海 飛 颺 / 學 海 惜 珠 學 生 出 國 研 修 心 得 報 告 內 容 大 綱 請 於 封 面 上 方 列 標 題 ( 標 題 內 容 須 含 : 選 送 生 獲 補 助 年 度 薦 送 學 校 系 所 年 級 中 文 姓 名 前 往 研 修 國 家 及 國 外 研 修 學 校 名 稱 ) 獲 補 助 年 度 薦 送 學 校 系 所 年 級 中 文 姓 名 研 修 國 家 研 修 學 校

More information

Microsoft Word - EDA2006_A_Answer

Microsoft Word - EDA2006_A_Answer 大规模数字集成电路设计 试卷 A 标准答案与评分细则 ( 卷面总分 :80 分 ) 一. 名词解释 (2 分 6 题 )( 评分标准 : 给出正确英文的 2 分 / 题, 仅给中文解释 1 分 / 题 ) 1. EDA:Electronic Design Automation 2. FPGA:Field Programmable Gate-Array 3. ASIC:Application Specific

More information

纵 是 华 佗 也 无 能 过 去 一 走 近 药 铺 就 可 听 到 铜 臼 捣 药 碾 药 槽 碾 药 的 声 音, 药 工 配 完 药 时 边 点 核 边 呼 出 药 名 的 响 亮 声 音, 这 一 景 象 早 已 不 见 了 据 了 解, 历 代 相 传 的 数 十 种 加 工 炮 制 方

纵 是 华 佗 也 无 能 过 去 一 走 近 药 铺 就 可 听 到 铜 臼 捣 药 碾 药 槽 碾 药 的 声 音, 药 工 配 完 药 时 边 点 核 边 呼 出 药 名 的 响 亮 声 音, 这 一 景 象 早 已 不 见 了 据 了 解, 历 代 相 传 的 数 十 种 加 工 炮 制 方 敲 响 食 品 安 全 的 警 钟 如 果 您 配 过 中 药, 相 信 对 配 方 过 程 一 定 记 忆 深 刻 从 接 方 窗 口 把 中 药 处 方 递 进 去, 换 一 张 对 单, 接 着 就 是 漫 长 的 等 待, 而 这 个 等 候 过 程 绝 对 是 考 验 耐 心 的 过 程, 大 家 全 集 中 在 差 不 多 的 时 间 采 配 方, 客 人 多 了, 等 候 的 时 间

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

Ps22Pdf

Ps22Pdf 1.?,,,,,,, :,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,,,, 2.?,,,, 16.40,,,, 20, 5, 2 8, 7,,,,,,,,,,,,,, 14 18, 1315,,,,,, 3 3.?, 0, 25 30, 12, 18, 33 35,, 20 3018, 28 26 28 29 14,,,,,,, 5 /, 2.5 /,, 1 /,,, 5.8 %,

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

寿险分支机构营业场所统计表(0706) .xls

寿险分支机构营业场所统计表(0706) .xls 分 支 机 构 名 称 分 支 机 构 地 址 邮 编 第 一 联 系 电 话 第 二 联 系 电 话 ( 统 一 总 机 ) 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 北 京 分 公 司 北 京 市 西 城 区 金 融 街 23 号 平 安 大 厦 100033 010-59730012 4008866338 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 北 京 分 公 司

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

第八章

第八章 CPLD 8.1 CPLD CPLD CPLD CPLD A/D D/A 0.1Hz-50MHz CPLD 1 16 BCD 2 MAX+PLUS 10.0 3 ACEX EP1K100QC208-3 4 CPLDEE-4 (1) 1000Hz 1000Hz 1MHz f=100mhz/ 8-1 1000Hz? K 8-1 212 FS 8-2 8-2 inclk 40MHz fin 0.1Hz 50MHz

More information

2016 年 全 省 一 般 公 共 预 算 收 入 表 ( 代 编 ) 项 目 2016 年 预 算 数 单 位 : 亿 元 2015 年 快 报 数 预 算 数 增 减 % 一 税 收 收 入 1938.55 2078.79 7.2 增 值 税 ( 含 改 征 增 值 税 ) 271.74 29

2016 年 全 省 一 般 公 共 预 算 收 入 表 ( 代 编 ) 项 目 2016 年 预 算 数 单 位 : 亿 元 2015 年 快 报 数 预 算 数 增 减 % 一 税 收 收 入 1938.55 2078.79 7.2 增 值 税 ( 含 改 征 增 值 税 ) 271.74 29 附 件 福 建 省 2016 年 预 算 收 支 表 1 2016 年 全 省 一 般 公 共 预 算 收 入 表 ( 代 编 ) 2 2016 年 全 省 一 般 公 共 预 算 支 出 表 ( 代 编 ) 3 2016 年 省 级 一 般 公 共 预 算 收 入 表 4 2016 年 省 级 一 般 公 共 预 算 支 出 表 5 2016 年 省 级 一 般 公 共 预 算 支 出 经 济 分

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

bnb.PDF

bnb.PDF 2003 8 5 2003 2003 Shanghai Port Machinery Co., Ltd SPMC 600732 3500 200125 http://www.chinaspmc.com spmc@chinaspmc.com 3500 02158395139 6665 02158817756 aiguo_cai@163.net http://www.sse.com.cn - 1 - 2003

More information

untitled

untitled 90120 90320 96 年 90620 91120 類 隆 北 2 不 不 說 度 行 度 25 行 說 20 理 說 行 20 理 說 20 說 行 15 95 年 類 理 行 理 10150 11650 13150 14650 10450 11950 13450 14950 11050 12550 14050 15550 2 不 不 62 行 立 論 25 78 契 不 契 論 25 97

More information

2010年第3期(总第11期)

2010年第3期(总第11期) 2016 年 第 2 期 ( 总 第 55 期 ) 图 书 馆 微 信 公 众 号 信 息 荟 萃 广 东 食 品 药 品 职 业 学 院 图 书 馆 编 目 录 高 职 教 育 职 业 院 校 实 习 告 别 放 羊 式 管 理...4 校 企 合 作, 职 业 院 校 的 学 生 还 满 意 吗...7 用 好 互 联 网 +, 读 懂 95 后 高 职 生...10 食 品 资 讯 农 业 部

More information

Microsoft PowerPoint - DFD.PPT

Microsoft PowerPoint - DFD.PPT Data Flow Diagram 資 科 系 林 偉 川 DFD 一 般 的 軟 體, 最 基 本 上 可 分 為 輸 入 處 理 輸 出 三 部 份 DFD 圖 的 精 神 就 在 於 資 料 流 程 的 規 劃 各 處 理 單 元 的 動 作 並 且 可 以 作 更 深 一 步 的 切 分 2 1 DFD 之 定 義 DFD 圖 有 幾 個 符 號 : 外 部 儲 存 體 (External

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

é é é è H S H + E S + J ( 105 ) 2 2 2 ( 1 R S2. 1)( 1 R S. 4. 123)( 1 RS. 612345. ) 1 ( 1 R S1) 2 2 ( 1 R S7. 123456)( 1 R 81234567. ) é ê

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

目 录

目 录 国 网 北 京 市 电 力 公 司 2014 年 电 力 市 场 交 易 信 息 报 告 国 网 北 京 市 电 力 公 司 二 〇 一 五 年 一 月 目 录 一 电 力 市 场 需 求 信 息 1 ( 一 ) 电 力 市 场 环 境 1 ( 二 ) 电 力 消 费 情 况 1 二 电 力 市 场 供 应 信 息 2 ( 一 ) 电 网 建 设 及 运 行 情 况 2 ( 二 ) 电 厂 发 电

More information

1 () 2303 1737 1528 853 90 1991 2241 1178. 3 1157 1253 910 1122 1234 542 1278. 3 1144 1530 1005 934 648 213() 1705 720 859 2035 1649 1307 1210 120 487 227 1066 615 964 5 1039 1448 1015 1062 3 791 1258.

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

实验室代码

实验室代码 实 验 室 编 号 上 次 评 估 日 期 XJDX0905 自 治 区 重 点 实 验 室 五 年 工 作 总 结 (2010 年 1 月 1 日 2014 年 12 月 31 日 ) 实 验 室 名 称 : 实 验 室 主 任 : 新 疆 多 语 种 信 息 技 术 重 点 实 验 室 吾 守 尔 斯 拉 木 依 托 单 位 名 称 : 新 疆 大 学 联 系 电 话 : 0991-8582762

More information

目 錄 一 研 討 會 活 動 主 題... 1 二 參 訪 名 單 及 分 房 資 訊... 2 三 參 訪 行 程 表... 3 四 會 議 地 點 及 歡 送 晚 宴 地 點... 6 五 研 討 會 議 程 表... 7 六 接 待 人 員 名 單... 8 七 參 訪 景 點 簡 介...

目 錄 一 研 討 會 活 動 主 題... 1 二 參 訪 名 單 及 分 房 資 訊... 2 三 參 訪 行 程 表... 3 四 會 議 地 點 及 歡 送 晚 宴 地 點... 6 五 研 討 會 議 程 表... 7 六 接 待 人 員 名 單... 8 七 參 訪 景 點 簡 介... 第 二 十 一 屆 海 峽 兩 岸 國 家 公 園 暨 自 然 保 護 區 研 討 會 参 訪 行 程 手 冊 日 期 :2014.12.06( 六 )-2014.12.12( 五 ) 指 導 單 位 : 內 政 部 營 建 署 主 辦 單 位 : 國 家 公 園 學 會 協 辦 單 位 : 墾 丁 國 家 公 園 管 理 處 金 門 國 家 公 園 管 理 處 台 江 國 家 公 園 管 理 處

More information

一 敬 拜 诗 歌 Gen 29:35 他 又 怀 孕 生 子, 说 : 这 回 我 要 赞 美 耶 和 华, 因 此 给 他 起 名 叫 犹 大 ( 就 是 赞 美 的 意 思 ) 第 2 页

一 敬 拜 诗 歌 Gen 29:35 他 又 怀 孕 生 子, 说 : 这 回 我 要 赞 美 耶 和 华, 因 此 给 他 起 名 叫 犹 大 ( 就 是 赞 美 的 意 思 )  第 2 页 第 十 天 2015-01-12 一 敬 拜 诗 歌 我 一 生 要 赞 美 你 二 灵 修 读 经 诗 篇 第 十 篇 三 旧 约 行 程 创 世 纪 29-31 章 四 新 约 行 程 马 太 福 音 第 10 章 第 1 页 一 敬 拜 诗 歌 Gen 29:35 他 又 怀 孕 生 子, 说 : 这 回 我 要 赞 美 耶 和 华, 因 此 给 他 起 名 叫 犹 大 ( 就 是 赞 美 的

More information

大綱 CPLD 簡介與市場現狀 EDA 工具 -MAX+plusII 圖形設計快速入門 -Graphic 圖形編輯的階層式設計 霹靂燈實習 文書設計快速入門 -VHDL Graphic 與 VHDL 整合

大綱 CPLD 簡介與市場現狀 EDA 工具 -MAX+plusII 圖形設計快速入門 -Graphic 圖形編輯的階層式設計 霹靂燈實習 文書設計快速入門 -VHDL Graphic 與 VHDL 整合 CPLD/VHD 淡江大學電機系微處理機實 大綱 CPLD 簡介與市場現狀 EDA 工具 -MAX+plusII 圖形設計快速入門 -Graphic 圖形編輯的階層式設計 霹靂燈實習 文書設計快速入門 -VHDL Graphic 與 VHDL 整合 CPLD 簡介與市場現 PLD 簡介 可程式化元件的內部連線 CPLD FPGA CPLD 架構 以 Altera MAX7000 系列之基於乘積項 (Product-Term)

More information

目 录 第 一 部 分 2015 年 毕 业 生 就 业 状 况... 1 一 毕 业 生 总 规 模... 1 二 就 业 率 和 就 业 结 构... 1 ( 一 ) 就 业 率 与 毕 业 去 向... 1 ( 二 ) 出 国 ( 境 ) 深 造... 3 ( 三 ) 就 业 单 位 性 质

目 录 第 一 部 分 2015 年 毕 业 生 就 业 状 况... 1 一 毕 业 生 总 规 模... 1 二 就 业 率 和 就 业 结 构... 1 ( 一 ) 就 业 率 与 毕 业 去 向... 1 ( 二 ) 出 国 ( 境 ) 深 造... 3 ( 三 ) 就 业 单 位 性 质 清 华 大 学 2015 年 毕 业 生 就 业 质 量 报 告 清 华 大 学 2015 年 12 月 目 录 第 一 部 分 2015 年 毕 业 生 就 业 状 况... 1 一 毕 业 生 总 规 模... 1 二 就 业 率 和 就 业 结 构... 1 ( 一 ) 就 业 率 与 毕 业 去 向... 1 ( 二 ) 出 国 ( 境 ) 深 造... 3 ( 三 ) 就 业 单 位 性

More information

武 汉 理 工 大 学 2015 年 教 师 招 聘 需 求 序 号 学 / 单 位 学 科 和 专 业 方 向 计 划 要 求 1 材 料 科 学 与 工 程 学 ( 含 特 种 功 能 材 料 实 验 室 2 交 通 学 3 管 理 学 ( 含 危 机 灾 害 研 究 中 心 ) 4 机 电 工

武 汉 理 工 大 学 2015 年 教 师 招 聘 需 求 序 号 学 / 单 位 学 科 和 专 业 方 向 计 划 要 求 1 材 料 科 学 与 工 程 学 ( 含 特 种 功 能 材 料 实 验 室 2 交 通 学 3 管 理 学 ( 含 危 机 灾 害 研 究 中 心 ) 4 机 电 工 附 录 : 六 校 岗 位 招 聘 信 息 表 电 子 科 技 大 学 2015 年 部 分 学 教 师 岗 位 招 聘 信 息 学 学 科 及 研 究 方 向 层 次 电 子 工 程 学 光 电 信 息 学 计 算 机 科 学 与 工 程 学 射 频 电 路 及 系 统 ; 电 磁 场 及 微 波 技 术 ; 天 线 设 计 ; 图 像 及 数 字 音 视 频 技 术 ; 遥 感 信 息 处 理

More information

05 No. 05 57 JOURNAL OF HISTORIOGRAPHY Serial No. 57 00875 0 0 0 0 9 05-0-7 840 0 0 0 0 94 009 70 0 975 69-70 0 0 978 05 00 70 4 0 7 05 4 5 6 7 8 9 90 4 5 6 7 8 9 994 985 9 49 5 78-79 86 5 0 0 6 989 7

More information

EDAKONXIN.PDF

EDAKONXIN.PDF - 1 - ispexpert/synario EDA/VHDL GWDD6-C a b GW48-CK GW48-CK EDA EDA README.TXT c d e f DAC0832 -/+12V 1-2 - 1 - BL7 BL7 BL6 GWDVP GW48 J3A J3B FPGA/CPLD 1 5V FPGA CPLD 2 5V FPGA/CPLD EP1K30/50/100 EPF10K30E

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

10CP-CW302WN_OG_KOR_ indd

10CP-CW302WN_OG_KOR_ indd CP-CW302WN 1 2 3 1. 2. 3. 3 1 2 4 6 97 18 102 16 6 13, 22, 52 24 24) 16 16 4 25 99 101 5 20 23 20, 105 105 105 30 30 58 10~15 6 7 23 20 23 25 26 26 24 24 19 28 62 22 19 28 62 22 27 26 29 22 30 30, 31 30,

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

スライド 1

スライド 1 第 10 章シリアル通信制御回路 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2007/01/16 2007, Masaharu Imai 1 内容 RS232C の仕様 Dsub 9 ピンコネクタ パリティの生成とチェック データの送受信手順 クロック生成回路

More information

用户手册

用户手册 cover page 66 MC-1 67 page 2 3 3 3 4 5 LEICA MC 7 9 11 13 15 17 17 19 20 21 21 23 25 35 39 53 55 57 59 60 TV 62 62 63 LEICA M500-N 63 LEICA MC 64 65 page 3 LEICA MC-1 M500-N LEICA MC-1 LEICA MC-1 LEICA

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

untitled

untitled 立 益 數 路 1 TTL 數 IC 流 TTL 數 IC 7400 TTL( TTL, standard TTL) 74S00 TTL(Schottky TTL) 74LS00 率 TTL (Low power Schottky TTL) 74F00 TTL 74ALS00 TTL CMOS 數 IC CD4000 series CMOS 74C00 CMOS TTL CMOS 74HC00 CMOS

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

_NT K_SC_A5_ _print.pdf

_NT K_SC_A5_ _print.pdf The power behind competitiveness Ultron NTUPS www.deltagreentech.com.cn Ultron NT ii 1 : ---------------------------------------------------------1 2 : --------------------------------------------------------------------4

More information

《礼仪全书 餐饮礼仪》

《礼仪全书  餐饮礼仪》 ! ################### ################### " " ################## "" ################# "$ ############### "% ################ "& ############### ( ############! ################## $ ################## $

More information

基于VHDL的三层电梯控制器

基于VHDL的三层电梯控制器 基于 VHDL 的三层电梯控制器 中国海洋大学 : 梁山宋连法马立洋 邮箱 442458366@qq.com 摘要 随着时代的进步 科技的发展, 各大城市高楼大厦平地起, 这是城市建设蓬勃发展的标志, 我们就是在这喧哗的城市中生活 工作着, 每天都会上下班, 每天都会进出电梯 ; 从此, 电梯与我们的生活形影不离, 并让我们上下高楼变得方便 安全快捷 从奥的斯发明的历史上第一部安全升降梯到现在的电梯,

More information

I (a) I I % I I 14A (b) 14A.90 14A (c) I % 14A.76 14A 2

I (a) I I % I I 14A (b) 14A.90 14A (c) I % 14A.76 14A 2 Qingdao Port International Co., Ltd. 06198 (1) (2) (3) (4) QDP 2014 7 31 I II 1 I (a) I I 14.07 5% I I 14A (b) 14A.90 14A (c) I 14.07 0.1% 14A.76 14A 2 II (a) 14A.90 14A (b) II 14.04(1)(e) 14.07 5% 25%

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

乳品市场(一)

乳品市场(一) ...1...2...6...7 4...8 ()...10 ()...16 30...22...23...24 5...26...27 QS...34...35 3.8...37...38...39...43...44 I II...51...53 UHT...54...56...59 10...60...62...63...65...66...67...68 750...69...73...75...78...78...80...81...85...89

More information

亮麗水顏

亮麗水顏 口 夏 口 亀 喘 嗽 之 論 治 演 講 者 : 和 平 中 醫 聯 合 診 所 李 阿 立 醫 師 時 間 :101/08/12 14:00~15:30 地 點 : 臺 中 市 大 墩 文 化 中 心 李 院 長 小 檔 案 62 年 度 國 家 考 試 中 醫 師 特 種 考 試 及 格 台 中 市 中 醫 師 公 會 第 十 五 屆 理 事 長 和 平 中 醫 醫 院 創 院 院 長 日 本

More information

目 录 前 言... 1 一 毕 业 生 就 业 情 况 分 析... 1 ( 一 ) 毕 业 生 就 业 基 本 情 况... 1 ( 二 ) 毕 业 生 毕 业 去 向 分 析... 2 ( 三 ) 毕 业 生 签 约 单 位 性 质 分 析... 5 ( 四 ) 毕 业 生 签 约 地 区 分

目 录 前 言... 1 一 毕 业 生 就 业 情 况 分 析... 1 ( 一 ) 毕 业 生 就 业 基 本 情 况... 1 ( 二 ) 毕 业 生 毕 业 去 向 分 析... 2 ( 三 ) 毕 业 生 签 约 单 位 性 质 分 析... 5 ( 四 ) 毕 业 生 签 约 地 区 分 目 录 前 言... 1 一 毕 业 生 就 业 情 况 分 析... 1 ( 一 ) 毕 业 生 就 业 基 本 情 况... 1 ( 二 ) 毕 业 生 毕 业 去 向 分 析... 2 ( 三 ) 毕 业 生 签 约 单 位 性 质 分 析... 5 ( 四 ) 毕 业 生 签 约 地 区 分 布... 6 ( 五 ) 毕 业 生 签 约 单 位 行 业 分 布... 8 二 就 业 市 场

More information

學 習 目 標 1. 了 解 有 計 畫 的 運 動 之 前, 實 施 身 體 檢 查 的 重 要 性 2. 了 解 熱 身 與 緩 和 運 動 可 以 預 防 運 動 傷 害 3. 了 解 包 紮 護 具 裝 備 與 場 地 器 材 的 維 護, 可 以 避 免 傷 害 發 生 4. 了 解 食

學 習 目 標 1. 了 解 有 計 畫 的 運 動 之 前, 實 施 身 體 檢 查 的 重 要 性 2. 了 解 熱 身 與 緩 和 運 動 可 以 預 防 運 動 傷 害 3. 了 解 包 紮 護 具 裝 備 與 場 地 器 材 的 維 護, 可 以 避 免 傷 害 發 生 4. 了 解 食 Chapter 03 運動傷害的預防 第一節 第二節 第三節 第四節 第五節 體育概論 孫苑梅 編著 身體檢查 熱身與緩和運動 運動安全防護 場地器材環境和衛生安全管理 基礎肌力訓練 學 習 目 標 1. 了 解 有 計 畫 的 運 動 之 前, 實 施 身 體 檢 查 的 重 要 性 2. 了 解 熱 身 與 緩 和 運 動 可 以 預 防 運 動 傷 害 3. 了 解 包 紮 護 具 裝 備 與

More information

6 : W eb 827 ) [ 5 ] 211, : (1) (2),, (3) 212, [ 6-7 ], B /S,,,, 1 1 Fig11 Design of the system architecture

6 : W eb 827 ) [ 5 ] 211, : (1) (2),, (3) 212, [ 6-7 ], B /S,,,, 1 1 Fig11 Design of the system architecture 11 6 2009 12 JOURNAL OF GEO2INFORMATION SC IENCE Vol111, No16 Dec1, 2009 W eb,,, (, 100101) :, W eb, ( ),,,, : ; W ebgis; 1 2,,, [ 1 ] [ 2 ] [ 3 ], ;, ;, 3S ( GPS GIS RS), [ 4 ], 1 100 1 5 1 1,, W eb ;,

More information

绝密★启用前

绝密★启用前 绝 密 启 用 前 2010 年 普 通 高 等 学 校 招 生 全 国 统 一 考 试 ( 上 海 卷 ) 地 理 试 卷 一 选 择 题 ( 共 50 分, 每 小 题 2 分 每 小 题 只 有 一 个 正 确 答 案 ) ( 一 ) 城 市, 让 生 活 更 美 好, 城 市 空 间 结 构 与 城 市 职 能 自 然 环 境 密 切 相 关 1. 不 同 的 城 市 职 能 具 有 不 同

More information

Manual Pulse Generator Catalogue

Manual Pulse Generator Catalogue Manual Pulse Generator RE45T series Outline RE45T/V series are compact optical manual pulse generators that allow accurate and smooth manual motion for NC machine tools, industrial machines etc. Features

More information

第一章引言 ISE 是 Xilinx 公司提供的一套集成开发工具, 它支持几乎所有的 Xilinx 公司的 FPGA/CPLD 主流产品, 并完成整个 FPGA/CPLD 的开发过程, 包括从设计输入到综合 布线 仿真 下载的全套解决方案, 并很方便的同其他 EDA 工具接口 ISE 将先进的技术与

第一章引言 ISE 是 Xilinx 公司提供的一套集成开发工具, 它支持几乎所有的 Xilinx 公司的 FPGA/CPLD 主流产品, 并完成整个 FPGA/CPLD 的开发过程, 包括从设计输入到综合 布线 仿真 下载的全套解决方案, 并很方便的同其他 EDA 工具接口 ISE 将先进的技术与 用 8*8 点阵实现贪吃蛇游戏 傅丽琴 徐楠楠 中国海洋大学 15726204330@163.com 中国海洋大学 15762264727@163.cm 摘要 本实验以设计贪吃蛇游戏为课题, 用 VHDL 语言实现 最终结果是以 8*8 点阵作为本游 戏的显示界面, 通过四个按键分别控制蛇的运动方向, 分别为 上下左右 蛇的长度为 7 个点阵, 另外以一点表示老鼠, 当蛇头吃到老鼠时, 老鼠则在点阵上改变位置,

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information