Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Size: px
Start display at page:

Download "Word Pro - FPGA设计高级技巧(Xilinx篇).lwp"

Transcription

1 V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd

2 FPGA 2001/09/

3 FPGA Coding Style FPGA VirtexII Coding Style FPGA ASIC Coding Style VirtexII CLB Slice LUT Shift Register LUT SRL MUXFX Carry Logic Arithmetic Logic Gates SOP FFX/FFY Memory Distributed RAM Block RAM IOB IOB Select I/O DCI Clock Resource Global Clock CLK MUX DCM LUT Block SelectRAM IF Case

4 FPGA if if if loop Pipelining fanout virtex virtex LUT IOB Distributed RAM Block SelectRAM SRL LFSR map FPGA Editor FloorPlanner TimingAnalyzer TIG False path Multi-Cycle-Path net MaxdelayMaxskew BUFGS Module Distributed RAM BlockRAM Distributed RAM

5 FPGA VirtexII RAM... 2 VirtexII BlockRAM... 3 Block RAM... 4 VirtexII... 5 VirtexII DCM Mux Mux VirtexII VirtexII CLB SLICE VirtexII Slice SRL VirtexIIMUXFX VirtexII VirtexII SOP FFX/FFY x1 RAM x1 RAM VirtexII Block RAM Write first Read first No Change Block RAM XC2V VirtexIIIOB VirtexII IOB DDR VirtexII IOB VirtexII Clock Pads VirtexII VirtexII

6 FPGA 30 VirtexIIBUFGMUX VirtexIIBUFG VirtexII BUFGCE VirtexII BUFGCE VirtexII 250 DCM VirtexII DCM Block Select RAM Read first mode Write first mode No-read-on-write mode if-else case critical critical Mealy VirtexE IOB IOB Distributed RAM LFSR VIRTEX

7 FPGA FPGA xilinx FPGA LUT FPGA FPGA : ASIC CLB DCI DCM DDR DLL FPGA GRM IOB LFSR LUT SOP SRL UCF Application Specific Integrated Circuit Configurable Logic Block Digitally Controlled Impedance Digital Clock Manager Double Data Rate Delay-Locked Loop Field Programmable Gate Array General Routing Matrix Input/Output Block Linear Feedbak Shift Register Look Up Table Sum of Product Shift Register LUT Custom Constraints File : VHDL / Virtex VerilogHDL FPGA UCF / Xilinx LFSR

8 xilinx gensim.pdf xilinx VirtexII_DesignConsid eration.pdf xilinx sp_block_mem.pdf xilinx lfsr.pdf xilinx ds031(virtexii).pdf VirtexII 1 HDL Hardware Description Language HDL FPGA FPGA ASIC FPGA FPGA RAM HDL FPGA FPGA 2 FPGA

9 FPGA 2.1 Synthesis Optimization ASIC Gate FPGA FPGA ASIC Gate Gate Code Style FPGA 161MUX 4000 case BUFT Buffer 5 CLBs 1 Mux

10 FPGA Mux 8 CLBs 2.2 DC Design Compiler FC2 FPGA Compiler II Synplify Leonardo Galileo DC ASIC FC2DCFPGA FC2 Leonardo FPGA Synplify Synplicity FPGA FPGA FPGA Leonardo DAFPGA ASIC Synplify FPGA Virtex Xilinx XST Synplicity Amplify 2.3 Coding Style FPGA Core FPGA FPGA ASIC FPGAXilinx 1. module 2. Critical path module 3. Critical path module module critial path module

11 4. Register Output module 5. modulesize 6. module verilog 3 FPGA VirtexII 20~30 FPGA shift register IOB register FPGA coregen module VirtexII FPGA FPGA Virtex Virtex xilinx 3.1 Coding Style FPGA Altera FPGA Xilinx FPGA FPGA CLB LE component LUT Altera FPGAFPGA 4 LUT LE CLBComponent FPGA components CLBCLB CLB FPGA

12 FPGA FPGA CLB Virtex LUT LUT FPGA ASIC ASIC FPGA Gage FPGA gate Coding Style ASICFPGA Coding Style FPGA Coding ASCI Coding Gate Array shandard cell Coding Gate Array 66M FPGA M FPGA Code Style ASIC ---- FPGA LUT FPGA 3.2 VirtexII VirtexII 1.5v FPGA 1 FPGA 40K xc2v40 10M xc2v M 840Mb/s I/O 2 19 single-ended IO 9 IO VirtexII XCITE 3 IOB DDR Double Data Rate 1108 sink current 2ma 24ma 4 RAM RAM18Kbit 400M b/s DDR-SDRAM 400Mb/s FCRAM RAM

13 FPGA 333Mb/sQDR-SRAM 600Mb/s Sigma RAM 5 18bit x 18 bit 6 7 DLL 12DCM 8 SRAM 9.15um VirtexII 16 3 VirtexII VirtexII Virtex VirtexE IOBs CLBs IOBCLB DCM DLL CLB Slice VirtexII CLBVirtex Family VirtexE Family CLB

14 FPGA 4 VirtexII CLB CLB 4 Slice 4Slice Slice GRM CLB 4slice 4Slice slice SHIFT Slice Slice 24 LUT GF2Storage element FFX FFY D Slice carry logic arithmetic logic gates multiplexers G4 G3 G2 G1 F4 F3 F2 F1 LUT G LUT F FFY FFX 5 SLICE

15 FPGA FPGA Slice FPGA VirtexII slice 6 VirtexII Slice VirtexII Slice LUT Slice 4 LUT FG Function Generator 4000 LUT RAM LUT 16X1RAM 4 GG1 G4 FF1 F4 RAM RAM 1 4 RAMROM LUT RAM Distributed RAM RAM WG

16 FPGA CLB LUT 4 LUT Shift Register LUT SRL LUT 16 LUT CLB4Slice SRL16 LUTMC15 Slice G MC15 DIF_MUXSHIFTIN F shiftin SHIFTOUT F MC15 slice 7 SRL SRL Shift Registers LUT MUXFX LUTVirtexII Slice MUXF7 MUXF5 MUXF8 MUXF5 Slice LUT F G 9 CLB 4 MUX MUXFX FX MUXF6 MUXFX MUXF6 MUXF7 MUXF8 FXINA FXINB MUXFX F6 F7F8 Slice MUX Slice MUXF5 X0Y0 X1Y0 Slice MUXFX MUXF6 F6 MUXF6 CLB Slice CLB Slice MUXF7 MUXF7 81MUX 19 MUX F6 X0Y1 Slice MUXFX MUXF7 CLB 39 81MUX

17 FPGA F7 CLB 4 Slice 161 MUX MUXF8 MUXF8 MUXF5 MUX Slice F7 X1Y1 Slice MUXFX MUXF8 F8 CLB 321MUX MUXFX CLB F8 Slice S3 F6 F5 Slice S2 F5 Slice S1 F5 F7 Slice S0 F5 F6 8 VirtexIIMUXFX Carry Logic Arithmetic Logic Gates Arithmetic Logic Gates XOR MULTIAND VirtexII Slice Slice VirtexII MUXCYXOR MUXCY

18 FPGA 9 3bit

19 FPGA 11 VirtexII CLB

20 FPGA 12 VirtexII SOP VirtexII Slice OR ORCY SOP Slice

21 FPGA 13 VirtexII SOP 4LUT4MUXCY 16 ORCY FFX/FFY VirtexII FFX FFY FFX/FFY 4attribute SRHIGH SRLOW INIT1INIT0 SR 01 configuration GSR 0 1 UCF 14 FFX/FFY DYG LUT YSlice VirtexII Slice 3.4 Memory Distributed RAM VirtexIILUT RAM RAM RAM R/W VirtexIICLB4Slice RAM

22 FPGA RAM RAM 1 VirtexII RAM X Lut 16 x x x x x x x 1 8 Distributed RAM 15 32x1 RAM

23 FPGA 16 16x1 RAM Block RAM VirtexII Block RAM Block 18k bit Block RAM4 6 VirtexII

24 FPGA 17 VirtexII Block RAM N CLB 4 2 VirtexII BlockRAM RAM18bit

25 FPGA 3 Block RAM Configuration Depth Data bits Parity bits 16K x 1 16Kb 1 0 8K x 2 8Kb 2 0 4K x 4 4Kb 4 0 2K x 9 2Kb 8 1 1K x 18 1Kb x VirtexII block RAM Write first new data is written and then appears on the RAM output Data_in RAM DO Data_out = Data_in 18 Write first Read first Previous data value is read from the WRITE address, then held on the output during the WRITE operation Data_in RAM DO DO = prior stored data 19 Read first NO CHANGE RAM output only changes when WE is inactive Data_in DI RAM DO DO (no change during Write 20 No Change Block RAM sp_block_mem.pdf 3.5 VirtexII 18bits x 18bits VirtexII Block RAM Block RAM

26 FPGA 21 Block RAM 22 XC2V

27 FPGA 4 VirtexII 4x4 signed 8x8 signed 12x12 signed 18x18 signed ~255 MHz ~210 MHz ~170 MHz ~140 MHz 3.6 IOB IOB I/Obuf DELAY I/O buf IOB 5I/O Buf buf IOBUF buf IBUFG buf IBUF buf OBUF buf OBUFT Virtex II IOB IOB DDR IO DCI IOB VirtexII IOB VirtexII IO IO 5IOB 4IOB 24 VirtexIIIOB VirtexIIIOB IOB I/Obuf DELAY DDR DDR MUX DDR DCM DDR IOB

28 FPGA 25 VirtexII IOB DDR 26 VirtexII IOB Select I/O VirtexII Select I/O VirtexII 8 banks banks VRNVRP IO

29 FPGA VirtexII 19 signal-ended IO --LVTTL, LVCMOS (3.3V, 2.5V, 1.8V, and 1.5V) --PCI-X at 133MHz, PCI (3.3V at 33MHz and 66MHz) --GTL, GTLP --HSTL (Class I, II, III, and IV) --SSTL (3.3V and 2.5V, Class I and II) --AGP-2X DCI --LVDS, BLVDS, ULVDS --LDT --LVPECL VirtexII DCI Digital Controlled Impedance IO 3.7 Clock Resource VirtexII 16 Virtex II Global Clock VirtexII MUX MUX VirtexII Clock Pads

30 FPGA MUX 28 VirtexII VirtexII 16 MUX 4 8 VirtexII 29 VirtexII CLK MUX VirtexII MUXBUFGMUX DCM BUFGMUX

31 FPGA 30 VirtexIIBUFGMUX BUFGMUX BUFG BUF 31 VirtexIIBUFG BUFGCE Enable Buf BUFGMUX MUX 32 VirtexII BUFGCE

32 FPGA 33 VirtexII BUFGCE DCM VirtexII Virtex DLL DCM Digital Clock Manager VirtexII 12DCM DCM CLB DCM V2250 8DCM DCM VirtexII 250 DCM VirtexII DCM

33 FPGA 5 VirtexII DCM VirtexII DCM 35 VirtexII DCM DCM DLL DLL Delay-Locked Loop DLL DCM 0 DPS Digital Phase Shifter DCM 4 DFS Digital Frequency Synthesizer DSS Digital Spread Spectrum

34 FPGA LUT LUT 16X1RAM 4 RAM 0 3 LUT F F= F4 F3 F2 F= F4 F3 F2 F1 F4 F3 F2 /F1 /. F4~ or 1110 F= 1 3F4 2 F4 1RAM LUT F2 0 RAM F4 F F LUT LUT LUT 3. LUT LUT FPGA LUT LUT ASIC

35 36 a LUT 2 LUT 2 LUT 3LUT LUT 2 b 2 a 2 LUT 2LUT 2 3 LUTLUT Block SelectRAM Block SelectRAM RAM RAM RAM FPGA

36 FPGA 37 Block Select RAM RAM RAM Block Memory VirtexII Block Memory Write First Read First No-read-on-write No Change DI WE MO D Q DOUT ADDR EN CLK EN CLK 38 Read first mode

37 FPGA DI WE MO 0 1 REG_D D Q DOUT ADDR EN CLK EN CLK 39 Write first mode DI WE MO D Q DOUT ADDR EN CLK EN CLK 40 No-read-on-write mode Distributed RAM Distributed RAM 4 LUT

38 FPGA VHDL verilog VerilogHDL VHDL VHDL -- A is the addend -- B is the augend -- C is the carry -- Cin is the carry in C0 <= (A0 and B0) or ((A0 or B0) and Cin); C1 <= (A1 and B1) or ((A1 or B1) and C0); -- Ps are propagate -- Gs are generate p0 <= a0 or b0; g0 <= a0 and b0; p1 <= a1 or b1; g1 <= a1 and b1; c0 <= g0 or (p0 and cin);

39 FPGA c1 <= g1 or (p1 and g0) or (p1 and p0 and cin); 42 Virtex FPGA FPGA Z <= A + B + C + D; 43 Z <= (A + B) + (C + D);

40 FPGA D A B C D A B C D 4.2 IF Case IF Case IF Case Case Case IF-Else IF-Else IF IF IF speed-critical paths Critical Signal IFCase IF-Then-Else 81 MUX6to1:process(sel,in) begin if(sel= "000") then out <= in(0); elseif(sel = "001") then out <= in(1); elseif(sel = "010") then out <= in(2); elseif(sel = "011") then out <= in(3); elseif(sel = "100") then else end if; end process; out <= in(4); out <= in(5);

41 FPGA 45 if-else Case 81 VHDL FPGA CLB 41 Virtex CLB 81 IF-Else CLB Case Case 81 MUX8to1 process( C, D, E, F, G, H, I, J, S ) begin case S is end case; end process; when 000 => Z <= C; when 001 => Z <= D; when 010 => Z <= E; when 011 => Z <= F; when 100 => Z <= G; when 101 => Z <= H; when 110 => Z <= I; when others => Z <= J; 46 case 4.3 FPGA critical path critical 2 if (clk'event and clk ='1') then

42 FPGA if (non_critical='1' and critical='1') then out1 <= in1; else out1 <= in2; end if; end if; 47 critical 2 critical critical signal out_temp : std_logic; process (non_critical, in1, in2) if (non_critical='1') then else end if; end process; process(clk) out_temp <= in1; out_temp <= in2; if (clk'event and clk ='1') then if (critical='1') then else end if; end if; end process; out1 <= out_temp; out1 <= in2;

43 FPGA 48 critical FPGA 4 LUT ASIC if if if If 1 then Do action1 Else if 2 then Do action2 Else if 3 then Do action if 3 If 3 then Do action3 Else if 1 then Do action1 Else if 2 then Do action2 4.4 if if if If 1 then

44 FPGA 1 Else if 2 then 0 Else if 3 then 1 Else if 4 then 0 if If 1 or 3 then 1 Else if 2 or 4 then if HDL HDL VHDL 4 if (...(siz = "0001")...) then count <= count + "0001"; else if (...((siz = "0010")...) then count <= count + "0010"; else if (...(siz = "0011")...) then count <= count + "0011"; else if (...(siz == "0000")...)then count <= count + "0100"; end if; 2 if (...(siz = "0000")...) then count <= count + "0100"; else if (...) then count <= count + siz; end if;

45 FPGA if (select = '1') then sum<=a +B; else sum<=c +D; end if; if (sel ='1') then else temp1 <=A; temp2 <=B; temp1 <=C; temp2 <=D; end if; sum <= temp1 + temp2; loop VHDL 4 req for i in 0 to 3 loop if (req(i)='1') then sum <= vsum + offset(i);

46 FPGA end if; end loop; req 51 4 for i in 0 to 3 loop if (req(i)='1') then offset_1 <= offset(i); end if; end loop; sum <= vsum + offset_1; VHDL a+b temp <= a + b; x <= temp; y <= temp + c;

47 FPGA FPGA CompilerII/FPGA Express 1. * + - > < >= <= 2. position sum1 <= A + B + C; sum2 <= D + A +B; sum3 <= E + (A +B); sum1sum3 (A +B), sum2 3. block process if (cond1 =..) then else end if ; S1 <= A +B ; if (cond2...) then else end if ; S2 <= E + F ; S3 <= G+ H ; S2 S3 S1 ASIC FPGA ASIC 4.6 Pipelining FPGA FPGA clock-to-out

48 FPGA process(clk, a, b, c) begin if(clk'event and clk = '1') then a_temp <= a; b_temp <= b; c_temp <= c; end if; end process; Process(clk, a_temp, b_temp, c_temp) begin if(clk'event and clk = '1') then out <= (a_temp * b_temp) + c_temp; end if; end process; 53 process(clk, a, b, c) begin if(clk'event and clk = '1') then a_temp <= a; b_temp <= b; c_temp1 <= c; end if; end process; process(clk, a_temp, b_temp, c_temp1) begin if(clk'event and clk = '1') then mult_temp <= a_temp * b_temp

49 FPGA end if; end process; c_temp2 <= c_temp1; process(clk, mult_temp, c_temp2) begin if(clk'event and clk = '1') then out <= mult_temp + c_temp2; end if; end process; Mealy Mealy 55 Mealy

50 FPGA Mealy Mealy VHDL 5 Mealy -- Example of a 5-state Mealy FSM library ieee; use ieee.std_logic_1164.all; entity mealy is port (clock, reset: in std_logic; data_out: out std_logic; data_in: in std_logic_vector (1 downto 0)); end mealy; architecture behave of mealy is type state_values is (st0, st1, st2, st3, st4); signal pres_state, next_state: state_values; begin -- FSM register statereg: process (clock, reset) begin if (reset = '0') then pres_state <= st0; elsif (clock'event and clock ='1') then pres_state <= next_state; end if; end process statereg; -- FSM combinational block fsm: process (pres_state, data_in) begin case pres_state is when st0 => case data_in is when "00" => next_state <= st0; when "01" => next_state <= st4; when "10" => next_state <= st1;

51 FPGA when "11" => next_state <= st2; when others => next_state <= (others <= 'x'); end case; when st1 => case data_in is when "00" => next_state <= st0; when "10" => next_state <= st2; when others => next_state <= st1; end case; when st2 => case data_in is when "00" => next_state <= st1; when "01" => next_state <= st1; when "10" => next_state <= st3; when "11" => next_state <= st3; when others => next_state <= (others <= 'x'); end case; when st3 => case data_in is when "01" => next_state <= st4; when "11" => next_state <= st4; when others => next_state <= st3; end case; when st4 => case data_in is when "11" => next_state <= st4; when others => next_state <= st0; end case; when others => next_state <= st0; end case; end process fsm; -- Mealy output definition using pres_state w/ data_in outputs: process (pres_state, data_in) begin case pres_state is when st0 =>

52 FPGA case data_in is end case; when st1 => data_out <= '0'; when st2 => case data_in is end case; when st3 => data_out <= '1'; when st4 => case data_in is end case; when "00" => data_out <= '0'; when others => data_out <= '1'; when "00" => data_out <= '0'; when "01" => data_out <= '0'; when others => data_out <= '1'; when "10" => data_out <= '1'; when "11" => data_out <= '1'; when others => data_out <= '0'; end case; end process outputs; end behave; when others => data_out <= '0'; 4.8 a b 56 a b c

53 fanout VHDL Tri_en FPGA

54 FPGA 59 buffer virtex CLB XC4000Spartan CLB 6 F GH 41 CLB CLB buffer BUFT BUFT 1 2 buffer 3 BUFTCLB CLB 4 one-hot 60 BUFT

55 61 xilinx CLB virtex virtex MUX CLB VirtexII CLB4slice 161 CLB CLB MUXF LUT LUT LUT LUT 4.12 IOB FPGA

56 FPGA 62 VirtexE IOB IOB IOB CLB 63 IOB FPGA Register Register FPGA 5663

57 FPGA 1. IOB register 2. FPGA Register Input DelayOutput Delay IOB D NODELAY UCF I/O Slew rate I/O IOB IOB IOB 1. IOB 2. IOB CLB IOB FPGA ASIC xlinx IOB Buffer IBUFG IOB Virtex II 4.13 Distributed RAM Distributed RAM Virtex Block RAM Distributed RAM Block RAM RAM Distributed RAM LUTRAM 64X32 RAMLUT 1 2 RAM Distributed RAM bits 1 Block RAM

58 FPGA +1 ADDR MCLK WE_CON DIN A Distributed DO RAM W_CLK WE DOUT 64 Distributed RAM 1 Distributed RAM RAM RAM LUT 16registers 4.14 Block SelectRAM Block SelectRAM RAM RAM Block SelectRAM FPGA FPGA ASIC 1. RAM ASICRAM ASIC 2. RAM ASIC 3. FPGA ASIC ASIC RAM RAM Block SelectRAM BlockRAM SelectRAM LUT RAM Block SelectRAM Distributed RAM 4.15 SRL LUT 16 LUT 4.16 LFSR

59 FPGA LFSR FIFO LFSR LFSR SRL 15bit LFSR SRL 16 SRL LFSR VIRTEX LFSR LFSR lfsr.pdf 5 Xilinx

60 TIG Multi-Cycle-Path ucf map Virtex FPGA map 100% slice LUT Regsiter LUTRegister LUT Register Block RAM Slice 5.2 FPGA Editor FPGA Editor FPGA Editor FPGA

61 FPGA FPGA Editor 5.3 FloorPlanner FloorPlanner place ucf mfp Place and Route LUT LUTplace module moduleplace place place place place 5.4 TimingAnalyzer TimingAnalyzer TimingAnalyzer TimingAnalyzer TimingAnalyzer FPGA Distributed RAM Pipeline 6.2 LUT TIG False path Multi-Cycle-Path TIGMulti-Cycle-Path

62 LUT net MaxdelayMaxskew BUFGS net BUFGS BUFGS copy fanout case if if LUT pipeline LUT MUX SRL Coregen ASIC ASIC Module LUT floorplanner LUT 6.3 FPGA

63 FPGA Distributed RAM BlockRAM LUTBLOCK RAM Distributed RAM BlockRAM Distributed RAM if case LUT IOB BlockRAM ASIC 2.3 Coding Style

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

方法论篇--修改稿(更新).PDF

方法论篇--修改稿(更新).PDF 1.0 140 2000/03/17 2000/03/18 yyyy/mm/dd 2000/03/17 1.00 2001-8-28 2142 VHDL... 7 1... 7 2... 7 3... 7 4... 7 5... 7 5.1 VHDL... 7 5.1.1 Identifiers)... 8 5.1.2... 9 5.1.3... 9 5.1.4.... 10 5.1.5... 12

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Course Agenda

Course Agenda 1 2011 赛灵思 ( Xilinx ) FPGA 最新课程技术研讨会 西安 1I/O Resources Xilinx 中国授权培训伙伴 - 依元素科技有限公司 www.e-elements.com 2 Course Objectives After completing this module, you will be able to: Describe the I/O features in

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Basic Virtex-II Architecture

Basic Virtex-II Architecture Virtex-II 基本架构 目标 完成此模块的学习后 你将会 了解 Virtex-II FPGA 的基本架构资源 Virtex-II 基本架构 - 2-3 概览 综述 CLB 资源 I/O 资源 Virtex-II 的其它特性 Virtex-II Pro 的特性 总结 附录 Virtex-II 基本架构 - 2-4 FPGA 架构的综述 Xilinx 所有的 FPGA 都包含有相同的基本资源 可配置逻辑块

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63> 无 锡 信 捷 电 气 股 份 有 限 公 司 WuXi Xinje Electric Co.,Ltd. ( 无 锡 市 滨 湖 区 胡 埭 工 业 园 北 区 刘 塘 路 9 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 声 明 本 公 司

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

積體電路設計方法

積體電路設計方法 積體電路設計方法 賴源泰 電機系 成功大學 1 積體電路時代 Transistors integrated on a single chip 10-100 in 1960 1K-20K in 1970 20K-500K in 1980 10M-20M in 1990 2 積體電路時代 Minimum line width in mass production 5μm in 1977 2μm in 1984

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344>

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344> 1. 請 問 誰 提 出 積 體 電 路 (IC) 上 可 容 納 的 電 晶 體 數 目, 約 每 隔 24 個 月 (1975 年 更 改 為 18 個 月 ) 便 會 增 加 一 倍, 效 能 也 將 提 升 一 倍, 也 揭 示 了 資 訊 科 技 進 步 的 速 度? (A) 英 特 爾 (Intel) 公 司 創 始 人 戈 登. 摩 爾 (Gordon Moore) (B) 微 軟 (Microsoft)

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_09

Microsoft PowerPoint - C15_LECTURE_NOTE_09 MEMORY DEVICES, CIRCUITS, AND SUBSYSTEM DESIGN MEMORY DEVICES, CIRCUITS, AND SUBSYSTEM DESIGN 9.1 Program and Data Storage 9.2 Read-Only Memory 9.3 Random Access Read/Write Memories 9.4 Parity, the Parity

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM CHAPTER 6 SQL SQL SQL 6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM 3. 1986 10 ANSI SQL ANSI X3. 135-1986

More information

スライド 1

スライド 1 ALMA ( ALMA ALMA ALMA Antenna 64 elements 12m + ACA (4 elements 12m + 12 elements 7m) Receiver Frequency Band: Band 1~10(43 ~950 GHz) Correlator 1 antenna: 4Gsps 3bit 8IF = 96Gbps 80 antennas: Total Data

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 5-1 - 1....3 1.1....3 1.2. GV-R9200...3 2....4 2.1....4 2.2....5 2.3....7 3....9 3.1. Windows 98/98SE Windows ME Windows XP 9 3.1.1....

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

西安美术学院福建公安高等专科.doc

西安美术学院福建公安高等专科.doc ...1...1 ( )...6... 11...13...14...16...21...22...36...37...38...39...43...44...46...48...48...51 I ( )...53...56...59...62...62...80...82...86...87 ( )...90...93...97 ( )...99... 106... 107... 118 ( )...

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

南華大學數位論文

南華大學數位論文 南 華 大 學 哲 學 與 生 命 教 育 學 系 碩 士 論 文 呂 氏 春 秋 音 樂 思 想 研 究 研 究 生 : 何 貞 宜 指 導 教 授 : 陳 章 錫 博 士 中 華 民 國 一 百 零 一 年 六 月 六 日 誌 謝 論 文 得 以 完 成, 最 重 要 的, 是 要 感 謝 我 的 指 導 教 授 陳 章 錫 博 士, 老 師 總 是 不 辭 辛 勞 仔 細 閱 讀 我 的 拙

More information

Microsoft Word - 3.3.1 - 一年級散文教案.doc

Microsoft Word - 3.3.1 - 一年級散文教案.doc 光 明 英 來 學 校 ( 中 國 文 學 之 旅 --- 散 文 小 說 教 學 ) 一 年 級 : 成 語 ( 主 題 : 勤 學 ) 節 數 : 六 教 節 ( 每 課 題 一 教 節 ) 課 題 : 守 株 待 兔 半 途 而 廢 愚 公 移 山 鐵 杵 磨 針 孟 母 三 遷 教 學 目 的 : 1. 透 過 活 動, 學 生 能 說 出 成 語 背 後 的 含 意 2. 學 生 能 指

More information

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等 1 12,403 2,892 264,553 19,517 238,008 10,132 989 36 9,869 2,218 250 122 ( 126 108 1,563 278 159 260 478 35,563 1,073 74 190,283 104,352 140,658 20,349 16,733 21,607 (21,607) 58,689 303,699 339,262 339,262

More information

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長 2013 年 1 月 23 日 的 立 法 會 會 議 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案 ( 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 ) 進 度 報 告 在 2013 年 1 月 23 日 的 立 法 會 會 議 上, 由 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案, 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 後 獲 得 通 過

More information

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 (a) (b) (c) (d) (e) 207 (f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 17.29 17.29 13.16A(1) 13.18 (a) (b) 13.16A (b) 12 (a) 209 13.19 (a) 13.16A 12 13.18(1) 13.18(4) 155 17.43(1) (4) (b) 13.19 17.43 17.29

More information

untitled

untitled 1993 79 2010 9 80 180,000 (a) (b) 81 20031,230 2009 10,610 43 2003 2009 1,200 1,000 924 1,061 800 717 600 530 440 400 333 200 123 0 2003 2004 2005 2006 2007 2008 2009 500 2003 15,238 2009 31,4532003 2009

More information

Microsoft Word - 08 单元一儿童文学理论

Microsoft Word - 08 单元一儿童文学理论 单 元 ( 一 ) 儿 童 文 学 理 论 内 容 提 要 : 本 单 元 共 分 成 三 个 小 课 目, 即 儿 童 文 学 的 基 本 理 论 儿 童 文 学 创 作 和 儿 童 文 学 的 鉴 赏 与 阅 读 指 导 儿 童 文 学 的 基 本 理 论 内 容 包 括 儿 童 文 学 的 基 本 含 义 儿 童 文 学 读 者 儿 童 文 学 与 儿 童 年 龄 特 征 和 儿 童 文 学

More information

bnbqw.PDF

bnbqw.PDF 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 ( ( 1 2 16 1608 100004 1 ( 2003 2002 6 30 12 31 7 2,768,544 3,140,926 8 29,054,561 40,313,774 9 11,815,996 10,566,353 11 10,007,641 9,052,657 12 4,344,697

More information

Microsoft Word - 發布版---規範_全文_.doc

Microsoft Word - 發布版---規範_全文_.doc 建 築 物 無 障 礙 設 施 設 計 規 範 內 政 部 97 年 4 年 10 日 台 內 營 字 第 0970802190 號 令 訂 定, 自 97 年 7 月 1 日 生 效 內 政 部 97 年 12 年 19 日 台 內 營 字 第 0970809360 號 令 修 正 內 政 部 101 年 11 年 16 日 台 內 營 字 第 1010810415 號 令 修 正 目 錄 第 一

More information