VN-Cover

Size: px
Start display at page:

Download "VN-Cover"

Transcription

1 IP Verification 國立中山大學資訊工程學系 黃英哲

2 nlint - Rule Checker

3 Course Objects Rule Definition nlint Utilizing 中山大學資工系黃英哲 3

4 Rule Definition Rule Group Coding style Language Construct Design style DFT Simulation Synthesis HDL translation Naming Convention 中山大學資工系黃英哲 4

5 Rule unconventional vector range definition Range declaration uses descending bit order and zero bound on LSB module initval; reg clock, reset; wire [1:8] count; //warning on "count[1:8]", //"count[7:0]" is recommended endmodule 中山大學資工系黃英哲 5

6 Rule (verilog) more than one module in file (vhdl) more than one primary unit in file See if each source file contains only one module or one primary unit // File: test.v module test(); //file test.v contains only one module //and the module name should be same //with file name... endmodule 中山大學資工系黃英哲 6

7 Rule bit width mismatch in assignment See if there is any width mismatch in assignment statements wire [3:0] a; wire [2:0] b; assign a = b; //warning on "a" and "b 中山大學資工系黃英哲 7

8 Rule bit width mismatch in bitwise operation Any width mismatch in bitwise operation. module test(result, a, b, c, d); output [1:0] result; input [2:0] a, b; //a, b is 3 bit width input [3:0] c, d; //c, d is 4 bit width reg [1:0] result; or b or c or d) if ((a+b) > (c+d)) //warning on "a"(3) and "c"(4) else if ((a+b) == (c+d)) result = 'b1; else result = 'b11; endmodule 中山大學資工系黃英哲 8

9 Rule (verilog) expression connected to port instance Any expression directly connected to a port of instance module andtest; wire a,b1,b2; wire c; and and1(c, a, b1+b2); //warning on b1+b2 as port instance; endmodule 中山大學資工系黃英哲 9

10 Rule combinational loop will control the behavior of module andtest( b, c ); input b; output c; wire a, b, c;... assign a = c; and and1(c, a, b); //warning on "c->a->c" endmodule 中山大學資工系黃英哲 10

11 Rule asynchronous loop Signal oscillate out of the clock control in asynchronous loop module counter(count, clk ); parameter number = 10; output [3:0] count; input clk; reg [3:0] count; reg i_rst; clk or posedge i_rst) //warning on "count->i_rst->count" if ( i_rst ) count < = 0; else count < = count + 1; count ) if ( count == number ) i_rst = 1; else i_rst = 0; endmodule 中山大學資工系黃英哲 11

12 Rule more than one statement per line If there are multiple statements on a line or inc_dec) begin: COMBINATIONAL_PROC if ( inc_dec == 0) sum = a + 1; else sum = a - 1; end //good coding style using separate line for each HDL //statement 中山大學資工系黃英哲 12

13 Rule (verilog) implicit port connection (vhdl) implicit port association Name association used on the port instance list module testini;... test u_test_0(sel, a, b, c, y); //warning here endmodule module test(sel, a, b, c, y);... endmodule 中山大學資工系黃英哲 13

14 Rule gated clock Gated clock in the design module test ( q, clk, en, reset, d ); output q; input clk, en, reset, d; reg q; wire clk, en, reset, d; wire clk_en; and U_and_1(clk_en, clk, en); //warning on "clk_en", is gated posedge clk_en or negedge reset ) if ( ~reset ) q <= 1'b0; else q <= d; endmodule 中山大學資工系黃英哲 14

15 Rule Invertor clock Invertor clock in the design module test ( q, clk, reset, d ); output q; input clk, reset, d; reg q; wire clk, reset, d; wire clk_i; inv U_buf_1(clk_i, clk); //warning on "clk_i", clk_i is drived //by a buffer posedge clk_i or negedge reset ) if ( ~reset ) q <= 1'b0; else q <= d; endmodule 中山大學資工系黃英哲 15

16 Rule buffered clock Explicit buffered clock in the design module test ( q, clk, reset, d ); output q; input clk, reset, d; reg q; wire clk, reset, d; wire clk_i; buf U_buf_1(clk_i, clk); //warning on "clk_i", clk_i is drived //by a buffer posedge clk_i or negedge reset ) if ( ~reset ) q <= 1'b0; else q <= d; endmodule 中山大學資工系黃英哲 16

17 Rule (verilog) single-bit logical operation on vectors Single-bit logic operator (&&, ) taking vectors as operands 中山大學資工系黃英哲 17 module test(.pc(c),.pa(a),.pb(b)); input [2:0] a, b; output [2:0] c; reg [2:0] c; or b) begin if (a && b) //warning on "a" and "b", both of them are //vector; this expression is equal to //"( a) && ( b)" c = 1; if (!(a b)) //warning on "a" and "b", both of them are //vector; it is equal to "( a) ( b)" c = 0; end endmodule

18 Rule (verilog) wire not explicitly declared All wires are declared explicitly module test(a, b, c, d, f); input a, b, c, d; output f; //wire f1, f2; assign d = f; and and1(f1, a, b); //warning on "f1", implicit wire or or1(f2, c, d); //warning on "f2", implicit wire or or2(f, f1, f2); endmodule 中山大學資工系黃英哲 18

19 Rule multiple clock signals More than one clock signal in a module or an architecture module block1(clock1,clock2,clock3,reset,count,data,data1,y,y1); input clock1, clock2, clock3, reset, data, data1; clock1 or negedge reset) //clock signal "clock1" begin end clock2) //warning on "clock2", another clock signal begin end clock3) //warning on "clock3", another clock signal begin end endmodule 中山大學資工系黃英哲 19

20 Rule clock signal active on both edges Clock signal that is on both the rising and falling active edge 中山大學資工系黃英哲 20 module block1(clock, reset, count, data, y); input clock, reset, data; output [8:0] count; reg [8:0] count; output y; reg y; initial count <= 0; clock or negedge reset) //posedge "clock" begin end clock) //negedge "clock", warning on "clock" begin end endmodule

21 Rule (verilog) delay in non-blocking assignment Any delay used in a non-blocking assignment module test(q, clock, reset, d); output q; input clock, reset, d; reg q; wire clock, reset, d; parameter D_RQ = 1, D_CQ = 2; clock or negedge reset) if (~reset) #D_RQ q <= 0; //delay control "#D_RQ" may cause //non-blocking effect invalid, warning else #D_CQ q <= d; //delay control "#D_CQ" may cause //non-blocking effect invalid, warning endmodule 中山大學資工系黃英哲 21

22 Rule (verilog) inferred storage not in library (vhdl) inferred storage Check and report all inferred storages that are not in library module test(c, a, b); input [1:0] a, b; output [1:0] c; reg [1:0] c; or b) if (a) c = b; //a latch "c" inferred endmodule 中山大學資工系黃英哲 22

23 Rule (verilog) case statement not fully-specified (vhdl) case/select statement not fully-specified Case (select) statement that does not cover all cases and has no default module test(out0, in1, in2, in3, sel); input [1:0] in1, in2, in3, sel; output [1:0] out0; reg [1:0] out0; or in2 or sel) case (sel) 2'b00: out0 = in1; 2'b01: out0 = in2; 2'b10: out0 = in3; //case not full, warning endcase endmodule 中山大學資工系黃英哲 23

24 Rule incomplete sensitivity list Any incomplete sensitivity list //"b" is also sensitive signal, which will cause //simulation problem, warning c = a + b; 中山大學資工系黃英哲 24

25 Rule extra signal in sensitivity list Any extraneous signal in the sensitivity list or in2 or in3) //"in3" is not referenced in block, //not a sensitive signal, warning out = in1 & in2; 中山大學資工系黃英哲 25

26 Rule (verilog) illegal assignment in edge-triggered block 中山大學資工系黃英哲 26 Not allowed assignment by default used in an edge triggered block, ex: blocking assignment clock) begin a = b; c = a; // choose BLOCKING, IGNORE_DEPEND; //block assignment in edge-trigger block will cause //mismatch between pre-synthesis and //post-synthesis simulation end suppressed by synopsys compile directive "synopsys translate_off" clock) begin a = b; c = a; // choose BLOCKING, CHECK_DEPEND; //no warning here end

27 Rule (verilog) illegal assignment in combinational block Not allowed assignment like non-blocking assignment by default used in a combinational block begin a <= in; o <= a; //choose NONBLOCKING, IGNORE_DEPEND; //non-block assignment in combinational block will //cause mismatch between pre-synthesis and //post-synthesis simulation end suppressed by synopsys compile directive "synopsys translate_off" 中山大學資工系黃英哲 27 begin a <= in; o <= a; //choose NONBLOCKING, CHECK_DEPEND; //no warning end

28 Rule race condition in sequential logic Potential race condition due to a signal being updated in one block and, simultaneously, being referenced in another module test(y1, y2, clk, a, b); output y1, y2; input clk, a, b; reg y1, y2; (posedge clk) begin : first y1 = a; //"y1" is assigned when "posedge clk" end clk) begin : second if (y1 == 1) //"y1" is referenced when "posedge clk", the //value will depend on simulator, warning y2 = b; else y2 = 0; end endmodule 中山大學資工系黃英哲 28

29 Rule race condition in combinational logic A signal is shared in two blocks under same condition, the simulation result will be implement-dependent and difference 中山大學資工系黃英哲 29 module tt( d2, a, b, sel ); output d2; input a, b, sel; wire a, b, sel; reg d1, d2; sel or a or b ) if ( sel ) d1 = a; else d1 = b; // "d1" assigned when (!sel) sel or a ) if ( sel ) d2 = ~a; else d2 = ~d1; // "d1" referenced when (!sel) endmodule

30 Rule (verilog) Z or X used in conditional expression Usage of 'x' or 'z' in conditional expression (vhdl) metalogic value in conditional expression Metalogic values used in conditional expression 中山大學資工系黃英哲 30 module test(dataout, s, datain); parameter WIDTH = 4; output dataout; input s; input [WIDTH-1:0] datain; reg dataout; or datain) begin if (s == 'bz) //"'bz" in conditional expression, warning dataout = datain[0]; else if (s == 'bx) //"'bx" in conditional expression, warning dataout = datain[1]; else if (s == 'b0) dataout = datain[2]; else dataout = datain[3]; end endmodule

31 Rule (verilog) UDP instance not synthesizable UDP invocation because it cannot be synthesized 中山大學資工系黃英哲 31 module testing; reg a, b, cin; wire sum; test u_test_0(sum, cin, a, b); //non-synthesizable, warning endmodule primitive test(sum, cin, a, b); output sum; input cin,a,b; table : 0; : 1; : 1; : 0; : 1; : 0; : 0; : 1; endtable endprimitive

32 Rule (verilog) initial block not synthesizable Any initial block cannot be synthesized initial //non-synthesizable, warning begin... end 中山大學資工系黃英哲 32

33 Rule (verilog) task not synthesizable Any task used because it cannot be synthesized task multiply; //non-synthesizable, warning begin... end endtask 中山大學資工系黃英哲 33

34 Rule (verilog) delay ignored by synthesis Any delay which may cause difference between simulation result of pre-synthesis and post-synthesis and #(3,5) and_test(c, a, b); //ignored by synthesizer, warning 中山大學資工系黃英哲 34

35 Rule (verilog) operation on X/Z not make sense Any operation performed on metalogic value X/Z or directly assigned by X/Z, which will cause simulation mismatch between pre-synthesis and post-synthesis module test( a, b ); input b; output a; wire c; assign c = 1'bz; //warning here, Z is directly assigned to some signal assign a = b & 1'bx c; //warning here, X is operated endmodule 中山大學資工系黃英哲 35

36 Rule (verilog) VHDL reserved words Check any VHDL reserved word used do not use VHDL reserved words to avoid translation error VHDL reserved words: "abs", "access", "after", "alias", "all", "and","architecture", "array", "assert", "attribute", "begin", "block","body", "buffer", "bus", "case", "component", "configuration","constant", "disconnect", "downto", "else", "elsif", "end","entity", "exit", "file", "for", "function", "generate", "generic", "group", "guarded", "if", "impure", "in", "inertial","inout", "is", "label", "library", "linkage", "literal", "loop","map", "mod", "nand", "new", "next", "nor", "not", "null", "of","on", "open", "or", "others", "out", "package", "port","postponed", "procedure", "process", "pure", "range", "record","register", "reject", "rem", "report", "return", "rol", "ror", "select", "severity", "shared", "signal", "sla", "sll", "sra", "srl", "subtype", "then", "to", "transport", "type","unaffected", "units", "until", "use", "variable", "wait","when", "while", "with", "xnor", "xor" 中山大學資工系黃英哲 36

37 Rule (vhdl) Verilog reserved words Do not use Verilog reserved words to avoid translation error Verilog reserved words: "always", "and", "assign", "begin", "buf", "bufif0", "bufif1", "case", "casex", "casez", "cmos", "deassign", "default", "defparam", "disable", "edge", "else", "end",? "endcase", "endmodule", "endfunction", "endprimitive", "endspecify", "endtable", "endtask", "event", "for", "force", "forever", "fork", "function", "highz0", "highz1", "if", "ifnono", "initial", "inout", "input", "integer", "join", "large", "macromodule", "medium", "module", "nand", "negedge", "nmos", "nor", "not", "notif0", "notif1", "or", "output", "parameter", "pmos", "posedge", "primitive", "pull0", "pull1", "pullup", "pulldown", "rcmos", "real", "realtime", "reg", "release", "repeat", "rnmos", "rpmos", "rtran", "rtranif0", "rtranif1", "scalared", "small", "specify", "specparam", "strong0", "strong1", "supply0", "sypply1", "table", "task", "time", "tran", "tranif0", "tranif1", "tri", "tri0", "tri1", "triand", "trior", "trireg", "vectored", "wait", "wand", "weak0", "weak1", "while", "wire", "wor", "xnor", "xor 中山大學資工系黃英哲 37

38 Rule (verilog) names distinguishable in letter case only Any pair of names that differ in cases only module test; reg reg1, reg2, reg3, REG1, Reg2, WIRE1; //warning "REG1"<->"reg1"... wire wire1, wire2, wire3, Wire2, test; //warning "test"<->"test"... Test u_test(wire3, reg3); Test u_test(wire1, reg1); //warning "u_test"<->"u_test" endmodule 中山大學資工系黃英哲 38

39 Rule unknown synopsys directive Check any unknown synopsys directive being used module test(); // synopsys aaa warning here endmodule 中山大學資工系黃英哲 39

40 nlint Utilization Main frame of nlint Import file of *.v, *.f Hierarchy under compilation 中山大學資工系黃英哲 40

41 nlint Profile Rule Organizer Choose the rules for code checking Report Viewer Code violation enclosed by rectangular box 中山大學資工系黃英哲 41

42 Quick Start on nlint Unix-like command line Import Design > nlint gui Start nlint GUI interface Use File->Import Design to specify your design 中山大學資工系黃英哲 42

43 Import Design File-> Import Design-> From File Select our design file (e.g. *.v, *.f) press OK to import file 中山大學資工系黃英哲 43

44 Open Another Window Choose file by left click -> Open Edit different files on another window mouse left click 中山大學資工系黃英哲 44

45 Unchecked File Check/uncheck bottom Choose files that don t want to be rule checking click the bottom choose the file 中山大學資工系黃英哲 45

46 Hierarchy View Expand the design hierarchically Need to wait for compiling time 中山大學資工系黃英哲 46

47 Rule Organizer Choose the rules that we want to check Rules store by different groups Some rules distribute into two or more groups rule organizer group page 中山大學資工系黃英哲 47

48 Rule Specification Select rule by mouse click Enable/disable group synopsys support enable/disable 中山大學資工系黃英哲 48

49 Linting Run->lint Linting our design after rule specified Violation store by Group Rule number Rule description 中山大學資工系黃英哲 49

50 Source Modification Editor window Click the rule description to allocate the violated code Output window shows the warning and error message output window 中山大學資工系黃英哲 50

51 Tool Preference Tools->Preference Report page->general page Change the report viewer options ntrace/nschema can turn on Debussy for debugging 中山大學資工系黃英哲 51

52 Appendix Rule List (I) Rule Group Serial No. Rule Contents Coding Style unconventional vector range definition Coding Style (verilog) more than one module in file Coding Style (vhdl) more than one primary unit in file Language Construct bit width mismatch in assignment Language Construct bit width mismatch in bitwise operation DFT, Design Style (verilog) expression connected to port instance Simulation, DFT, Design Style combinational loop Simulation, DFT, Design Style asynchronous loop Coding Style more than one statement per line Coding Style (verilog) implicit port connection 中山大學資工系黃英哲 52

53 Rule List (II) Rule Group Serial No. Rule Contents Coding Style (vhdl) implicit port association DFT, Design Style gated clock DFT, Design Style invertor clock DFT, Design Style buffered clock Simulation, Language Construct (verilog) single-bit logical operation on vector Coding Style (verilog) wire not explicitly declared DFT, Design Style multiple clock signals Design Style clock signal active on both edges Simulation, Language Construct (verilog) delay in non-blocking assignment Synthesis (verilog) inferred storage not in library 中山大學資工系黃英哲 53

54 Rule List (III) Rule Group Serial No. Rule Contents Synthesis (vhdl) inferred storage Language Construct (verilog) case statement not fully-specified Language Construct (vhdl) case/select statement not fully-specified Simulation, Synthesis incomplete sensitivity list Simulation extra signal in sensitivity list Synthesis (verilog) illegal assignment in edge-triggered Synthesis (verilog) illegal assignment in combinational block Simulation, Language Construct Simulation, Language Construct Synthesis, Language Construct race condition in sequential logic race condition in combinational logic (verilog) Z or X used in combinational expression 中山大學資工系黃英哲 54

55 Rule List (IV) 中山大學資工系黃英哲 55 Rule Group Serial No. Rule Contents Synthesis, Language Construct (vhdl) metalogic used in combinational expression Synthesis (verilog) UDP instance not synthesizable Synthesis (verilog) initial block not synthesizable Synthesis (verilog) task not synthesizable Synthesis (verilog) delay ignored by synthesis Simulation (verilog) operation on X/Z not make sense HDL Translation (verilog) VHDL reserved words HDL Translation (vhdl) Verilog reserved words HDL Translation, Naming Convention (verilog) names distinguishable in letter case Language Construct unknown synopsys directive

56 VN-Cover Utilization

57 Course Objects VN-Cover Code Coverage FSM Coverage Tool Utilizing Verification Flow Simulation Results Analysis 中山大學資工系黃英哲 57

58 VN-Cover Code Coverage Statement Branch Condition Path Toggle Triggering Tracing FSM Coverage State Arc Path 中山大學資工系黃英哲 58

59 Statement Coverage Sequential and concurrent assignments (Number of statement executed) Statement coverage = 100 (Total number of executable statements) 中山大學資工系黃英哲 59

60 Branch Coverage Branch definition Branch not taken If always b=a in test bench 中山大學資工系黃英哲 60

61 Branch coverage (cont.) Calculating branch coverage (Number of branches taken) Branch coverage = 100 (Total no. of possible branches) branch taken 中山大學資工系黃英哲 61

62 Condition Coverage Definition Which expression in condition be executed expression Four types of condition coverage EXPR (Sum-of-Product Expression Coverage) BSC (Basic Sub-condition Coverage) MSC (Multiple Sub-condition Coverage) FEC (Focused Expression Coverage) 中山大學資工系黃英哲 62

63 EXPR Coverage Definition (Verilog only) The combination of value to achieve 100% EXPR: 中山大學資工系黃英哲 63

64 BSC Coverage Definition Sub-expression be both true and false 中山大學資工系黃英哲 64

65 MSC Coverage Definition Explore all sub-condition value combinations Useful on testing infeasible sub-condition 中山大學資工系黃英哲 65

66 FEC Coverage Definition (default for VHDL circuit) testing minimum input combinations which reduced by Boolean expression : 3 inputs need 3+1 tests FEC vector 中山大學資工系黃英哲 66

67 Path Coverage Definition Sequence statements executed in a particular order (combination of sequential branches) 中山大學資工系黃英哲 67

68 Path Coverage (cont.) Infeasible paths Can t achieve 100% path coverage Inefficient logic could be merged into one 中山大學資工系黃英哲 68

69 Toggle Coverage Full toggled At least one rising edge and falling edge 中山大學資工系黃英哲 69

70 Verilog Toggle Type Toggle type Init: Known value at the end Full : 0->[X Z]->1 or 1->[X Z]->0 accepted activity : Only X->0 can start count toggle 中山大學資工系黃英哲 70

71 Triggering Coverage Definition Signals in the sensitivity list Only applied in VHDL 中山大學資工系黃英哲 71

72 Tracing Coverage Trace methodology Signal names file must be supplied Utilizing to error condition detection (deadlock, bus contention) of system Signal names file Contain the signal information we want to trace 中山大學資工系黃英哲 72

73 Tracing Results Tracing example We use Done and LSB for tracing VN-Cover accumulate the count of traced signals 中山大學資工系黃英哲 73

74 FSM Coverage Control functionality State Arc Possible state in FSM Transition between two adjacent states Path A valid sequence of states 中山大學資工系黃英哲 74

75 Path Coverage Automatic recognition Cycle Link Supercycle : basic traverse unit 中山大學資工系黃英哲 75

76 State Machine Properties Preview FSM properties before simulation select the file within FSM State Machine Properties 中山大學資工系黃英哲 76

77 FSM Extraction Preview state machine diagram and state path 中山大學資工系黃英哲 77

78 FSM Path Diagram Use codeview option to display path diagram Tool utilization (page 35 & 36) 中山大學資工系黃英哲 78

79 State and Arc Analysis Path view information Black color : not attached supercycle Green color : attached supercycle, and be traversed Red color : not attached supercycle, and fail traverse choose the recognized cycle chose cycle will be highlight 中山大學資工系黃英哲 79

80 Verification Flow Verification flow of VN-Cover Set simulator & library Select property option Run simulation View results 中山大學資工系黃英哲 80

81 Simulator Selection We can choose the suitable HDL and whose simulator (Ex: Cadance Verilog-XL) 中山大學資工系黃英哲 81

82 VN-Cover Option Select the Verilog+ to include the top level module 中山大學資工系黃英哲 82

83 HDL Files Loading Code and FSM default value VN-Cover set default options for verification code within FSM 中山大學資工系黃英哲 83

84 Coverage Criteria Select coverage criteria Choose all the module exclude the test module 2. select coverage criteria 1. select files 中山大學資工系黃英哲 84

85 Instrument Instrument HDL files Produce command file (vnavigator.f) for simulation default value 中山大學資工系黃英哲 85

86 Specify Test Bench Verilog HDL Using command file (vnavigator.f) produced at previous step choose command file 中山大學資工系黃英哲 86

87 Simulation Produce results Progress reported in Log window Notice the Note and message in the log window 中山大學資工系黃英哲 87

88 Results Stage Load results files We load the file of simulation result (default: vnavigator.index) 中山大學資工系黃英哲 88

89 Loading Result File Coverage results Blank means no such coverage for analysis Red proportion means the unfilled coverage (not achieve 100%) 中山大學資工系黃英哲 89

90 Results Analysis module hierarchy for code view code classify 中山大學資工系黃英哲 90

91 Code View and Detail Code View Display the coverage belong to statement Choose the coverage in Metrics View can display drawback code in Code View 中山大學資工系黃英哲 91

92 Detail View Coverage information Red line indicate untested situation Coverage = really test / should be test 中山大學資工系黃英哲 92

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

C/C++语言 - 运算符、表达式和语句

C/C++语言 - 运算符、表达式和语句 C/C++ Table of contents 1. 2. 3. 4. C C++ 5. 6. 7. 1 i // shoe1.c: # include # define ADJUST 7. 64 # define SCALE 0. 325 int main ( void ) { double shoe, foot ; shoe = 9. 0; foot = SCALE * shoe

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d WeChat Search Visual Identity Guidelines WEDESIGN 2018. 04 Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

Microsoft PowerPoint - ch6 [相容模式]

Microsoft PowerPoint - ch6 [相容模式] UiBinder wzyang@asia.edu.tw UiBinder Java GWT UiBinder XML UI i18n (widget) 1 2 UiBinder HelloWidget.ui.xml: UI HelloWidgetBinder HelloWidget.java XML UI Owner class ( Composite ) UI XML UiBinder: Owner

More information

coverage2.ppt

coverage2.ppt Satellite Tool Kit STK/Coverage STK 82 0715 010-68745117 1 Coverage Definition Figure of Merit 2 STK Basic Grid Assets Interval Description 3 Grid Global Latitude Bounds Longitude Lines Custom Regions

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled Co-integration and VECM Yi-Nung Yang CYCU, Taiwan May, 2012 不 列 1 Learning objectives Integrated variables Co-integration Vector Error correction model (VECM) Engle-Granger 2-step co-integration test Johansen

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

WWW PHP Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2

WWW PHP Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2 WWW PHP 2003 1 Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2 Comments PHP Shell Style: # C++ Style: // C Style: /* */ $value = $p * exp($r * $t); # $value

More information

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc

Fun Time (1) What happens in memory? 1 i n t i ; 2 s h o r t j ; 3 double k ; 4 char c = a ; 5 i = 3; j = 2; 6 k = i j ; H.-T. Lin (NTU CSIE) Referenc References (Section 5.2) Hsuan-Tien Lin Deptartment of CSIE, NTU OOP Class, March 15-16, 2010 H.-T. Lin (NTU CSIE) References OOP 03/15-16/2010 0 / 22 Fun Time (1) What happens in memory? 1 i n t i ; 2

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

PowerPoint Presentation

PowerPoint Presentation Decision analysis 量化決策分析方法專論 2011/5/26 1 Problem formulation- states of nature In the decision analysis, decision alternatives are referred to as chance events. The possible outcomes for a chance event

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

Microsoft PowerPoint - TTCN-Introduction-v5.ppt

Microsoft PowerPoint - TTCN-Introduction-v5.ppt Conformance Testing and TTCN 工研院無線通訊技術部林牧台 / Morton Lin 03-5912360 mtlin@itri.org.tw 1 Outline Introduction and Terminology Conformance Testing Process 3GPP conformance testing and test cases A real world

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To PowerDesigner Applying PowerDesigner CASE Tool in OOAD albertchung@mpinfo.com.tw PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE Tool PowerDesigner CASE Tool CASE Tool PowerDesignerUnified ProcessUMLing

More information

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis Chap. 4 Techniques of Circuit Analysis Contents 4.1 Terminology 4.2 Introduction to the Node-Voltage Method 4.3 The Node-Voltage Method and Dependent Sources 4.4 The Node-Voltage Method: Some Special Cases

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

Microsoft Word - HC20138_2010.doc

Microsoft Word - HC20138_2010.doc Page: 1 of 7 Date: April 26, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Knowledge and its Place in Nature by Hilary Kornblith

Knowledge and its Place in Nature by Hilary Kornblith Deduction by Daniel Bonevac Chapter 7 Quantified Natural Deduction Quantified Natural Deduction As with truth trees, natural deduction in Q depends on the addition of some new rules to handle the quantifiers.

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

RunPC2_.doc

RunPC2_.doc PowerBuilder 8 (5) PowerBuilder Client/Server Jaguar Server Jaguar Server Connection Cache Thin Client Internet Connection Pooling EAServer Connection Cache Connection Cache Connection Cache Connection

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Go构建日请求千亿微服务最佳实践的副本

Go构建日请求千亿微服务最佳实践的副本 Go 构建 请求千亿级微服务实践 项超 100+ 700 万 3000 亿 Goroutine & Channel Goroutine Channel Goroutine func gen() chan int { out := make(chan int) go func(){ for i:=0; i

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM CHAPTER 6 SQL SQL SQL 6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM 3. 1986 10 ANSI SQL ANSI X3. 135-1986

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

HCD0174_2008

HCD0174_2008 Reliability Laboratory Page: 1 of 5 Date: December 23, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university

Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university Map data @ Google Reproducible research in Madagascar How to conduct a successful installation

More information

Microsoft PowerPoint - ryz_030708_pwo.ppt

Microsoft PowerPoint - ryz_030708_pwo.ppt Long Term Recovery of Seven PWO Crystals Ren-yuan Zhu California Institute of Technology CMS ECAL Week, CERN Introduction 20 endcap and 5 barrel PWO crystals went through (1) thermal annealing at 200 o

More information

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp 天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Explore the impact of emotional factors couples do not

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

三維空間之機械手臂虛擬實境模擬

三維空間之機械手臂虛擬實境模擬 VRML Model of 3-D Robot Arm VRML Model of 3-D Robot Arm MATLAB VRML MATLAB Simulink i MATLAB Simulink V-Realm Build Joystick ii Abstract The major purpose of this thesis presents the procedure of VRML

More information

第5章修改稿

第5章修改稿 (Programming Language), ok,, if then else,(), ()() 5.0 5.0.0, (Variable Declaration) var x : T x, T, x,,,, var x : T P = x, x' : T P P, () var x:t P,,, yz, var x : int x:=2. y := x+z = x, x' : int x' =2

More information

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5]

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5] DYNAMIC SCHEDULING IN TWO-MACHINE FLOW-SHOP WITH RECIRCULATION em-plant( SiMPLE++) Jen-Shiang Chen, Jar-Her Kao, Chun-Chieh Chen, Po-Cheng Liu, and Wen-Pin Lin Department of Industrial Engineering and

More information

OA-253_H1~H4_OL.ai

OA-253_H1~H4_OL.ai WARNINGS Note: Read ALL the following BEFORE using this product. Follow all Guidelines at all times while using this product. CAUTION This warning indicates possibility of personal injury and material

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論..

1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論.. 如 何 準 備 研 究 所 甄 試 劉 富 翃 1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論... 20 8. 附 錄 8.1 推 甄 書 面 資 料...

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

科学计算的语言-FORTRAN95

科学计算的语言-FORTRAN95 科 学 计 算 的 语 言 -FORTRAN95 目 录 第 一 篇 闲 话 第 1 章 目 的 是 计 算 第 2 章 FORTRAN95 如 何 描 述 计 算 第 3 章 FORTRAN 的 编 译 系 统 第 二 篇 计 算 的 叙 述 第 4 章 FORTRAN95 语 言 的 形 貌 第 5 章 准 备 数 据 第 6 章 构 造 数 据 第 7 章 声 明 数 据 第 8 章 构 造

More information

Microsoft Word - HSK使用手册.doc

Microsoft Word - HSK使用手册.doc HSK / New HSK Online Mock Test/Practices Student User Manual Table of contents New User... 2 1.1 Register... 2 1.2 Login... 3 1.3 Homepage... 4 Free Test... 4 2.1 Start... 5 2.2 Results... 6 Mock Test...

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

3.1 num = 3 ch = 'C' 2

3.1 num = 3 ch = 'C' 2 Java 1 3.1 num = 3 ch = 'C' 2 final 3.1 final : final final double PI=3.1415926; 3 3.2 4 int 3.2 (long int) (int) (short int) (byte) short sum; // sum 5 3.2 Java int long num=32967359818l; C:\java\app3_2.java:6:

More information

A Study on the Relationships of the Co-construction Contract A Study on the Relationships of the Co-Construction Contract ( ) ABSTRACT Co-constructio in the real estate development, holds the quite

More information

untitled

untitled 51Testing Diana LI Xbox Xbox Live Fidelity Investments Office Server group Xbox Expedia Inc ( elong ) 1996 1996. bug break - 5Ws bug. Trust No One) QA Function Assignment Checking Timing Build/Package/Merge

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

1-S40A...-1 DAT00452 V.005

1-S40A...-1 DAT00452 V.005 1. 1-S40A -1 Technical data: DATA SHEET Technical data Unit 1-S40A -1 OIML R60 D1 C3 Emax Max. capacity Kg 50,100,200,500 50,100,200,500 t 1, 2, 3, 5 1, 2, 3, 5 vmin % of Cn 0.0286 0.0120 Sensitivity mv/v

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63>

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63> 運 用 多 媒 體 製 作 華 文 補 充 教 材 江 惜 美 銘 傳 大 學 應 用 中 文 系 chm248@gmail.com 摘 要 : 本 文 旨 在 探 究 如 何 運 用 多 媒 體, 結 合 文 字 聲 音 圖 畫, 製 作 華 文 補 充 教 材 當 我 們 在 進 行 華 文 教 學 時, 往 往 必 須 透 過 教 案 設 計, 並 製 作 補 充 教 材, 方 能 使 教 學

More information

Microsoft Word - 3D手册2.doc

Microsoft Word - 3D手册2.doc 第 一 章 BLOCK 前 处 理 本 章 纲 要 : 1. BLOCK 前 处 理 1.1. 创 建 新 作 业 1.2. 设 定 模 拟 控 制 参 数 1.3. 输 入 对 象 数 据 1.4. 视 图 操 作 1.5. 选 择 点 1.6. 其 他 显 示 窗 口 图 标 钮 1.7. 保 存 作 业 1.8. 退 出 DEFORMTM3D 1 1. BLOCK 前 处 理 1.1. 创 建

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

Microsoft Word - KSAE06-S0262.doc

Microsoft Word - KSAE06-S0262.doc Stereo Vision based Forward Collision Warning and Avoidance System Yunhee LeeByungjoo KimHogi JungPaljoo Yoon Central R&D Center, MANDO Corporation, 413-5, Gomae-Ri, Gibeung-Eub, Youngin-Si, Kyonggi-Do,

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information