姓名

Size: px
Start display at page:

Download "姓名"

Transcription

1 红外线遥控系统 设计 至芯科技教研部 李昭

2 至芯科技官网 : 至芯科技技术论坛 : 至芯科技淘宝网址 : gJ436 至芯科技腾讯课堂 : 6%8A%80 至芯科技 -fpag 交流群 (QQ): 至芯科技 fpga 就业班火爆招生中, 全国统一咨询热线 :

3 红外线遥控系统 设计 设计背景 : 红外线 (Infrared) 是波长介乎微波与可见光之间的电磁波, 波 长在 760 纳米 (nm) 至 1 毫米 (mm) 之间, 比红光长的非可见光 红外 线遥控是目前使用最广泛的一种通信和遥控手段 由于红外线遥控装置具有体积小 功耗低 功能强 成本低等特点, 因而, 继彩电 录像机之后, 在录音机 音响设备 空凋机以及玩具等其它小型电器装置上也纷纷采用红外线遥控 现在工业设备中, 也已经广泛在使用 设计原理 : 红外遥控系统主要由红外的发送装置和接收装置组成, 发送装置可由按键, 编码模块, 发射电路等组成, 接收装置由红外接收电路, 遥控, 解码模块等组成, 此次设计我们用到的硬件平台式是 Altera 的 DE1_SOC, 晶振为 50MHZ 在红外的编码中, 我们对 1 和 0 的编码是通过 38KHZ 的脉冲来

4 定义的, 在红外的的编码中每个脉冲的为 us 长的 38KHZ 载波频率 (26.3us), 对 0,1 的脉冲的定义的时间如下图 红外的数据格式为包括引导码, 用户码, 数据码和数据纠错码, 停止位编码总为 32 位 数据反码是数据码反相后的编码, 可用于对数据的纠错 此外第二段的用户码可以在遥控应用电路中设置为第一段用户码的反码 数据格式如下图 : 引导码 用户码 用户码 数据码 数据反码 停止 C0 C1C2C3 C4 C5 C6 C7 C0 C1 C2C3 C4 C5C6 C7 D0 D1D2 D3D4D5D6D7D0 D1D2D3D4D5 D6 D7 位 一帧数据在发送时先发送 9MS 的高电平, 然后发送 4.5MS 的低电平的起始位, 然后发送用户码, 数据码, 数据反码 然后再发送一位的停止位 不发送数据时数据线一直为低 发送的时序图如下 :

5 接受的时, 接收到的时序和发送的时序恰恰相反, 如发送时先发送 9ms 的高,4.5ms 的低, 接收为接收 9ms 的低电平,4.5ms 低电平 接收的控制器我们用的时红外遥控装置, 按键发送的数据如下图所示 设计架构图 :

6 设计的总框架如下图 : key infrared clk clk_38khz tx_led tx rst_n clk_frep rx clk_38khz rx_led shouw_data[7:0] clk seg seg1[6:0] seg2[6:0] 在我们的设计中分频模块提供所需要的 38KHZ 的时钟, 当按键按下时发送我们的发送模块发送一个给定的数值, 我的设计中用户码为 8 b0 第二段用户码为 8 hff, 然后发送给定的数据码, 和数据反码 上电后我们的设计会发一次我们给定的数据码, 然后在接受模块会接受到其发送的数据并在数码管上显示出来, 之后我们可以用我们我的遥控键盘来发送数据, 接收模块接收显示出来, 通过验证我们接收和发送的正确 设计代码 : 顶层代码 :

7 00 module infrared(clk, rst_n, key, tx, seg1, seg2, rx); input clk, rst_n; 03 input key; 04 output tx; 05 input rx; 06 wire [7:0] show_data; 07 output [7:0] seg1,seg2; 08 wire [31:0] data_n; 09 wire clk_38khz; clk_frep clk_frep_dut( // 分频模块 13.clk(clk), 14.rst_n(rst_n), 15.clk_38khz(clk_38khz) 16 ); tttxxx tx_dut( // 发送模块 20.clk(clk_38khz), 21.rst_n(rst_n), 22.data_n(data_n), 23.tx(tx), 24.key(key) 25 ); seg seg01( // 数码管模块 28.clk(clk), 29.rst_n(rst_n), 30.seg7(seg1), 31.data_in(show_data[3:0]) 32 ); seg seg02( 35.clk(clk), 36.rst_n(rst_n), 37.seg7(seg2), 38.data_in(show_data[7:4]) 39 );

8 40 41 rx_led led_dut( // 接收模块 42.clk(clk_38khz), 43.rst_n(rst_n), 44.rx(rx), 45.show_data(show_data) 46 ); endmodule 发送模块 : 000 module tttxxx(clk, rst_n, data_n, tx, key); input clk, rst_n; 003 input key; 004 input [31:0] data_n; 005 output reg tx; parameter T9ms = 342; //9000/ parameter T4500us = 171; //4.5ms 4500/ parameter T0 = 21; //( )/ parameter T1 = 63; //( )/ parameter T562us = 21; // /26.3; 012 parameter T = 2666; // 一帧数据 013 reg T9_flag; 014 reg T45_flag; 015 reg T0_flag; 016 reg T1_flag; 017 reg T9_down; 018 reg T45_down; 019 reg T0_down; 020 reg T1_down; 021 reg [9:0] cnt9; 022 reg [9:0] cnt45; 023 reg [9:0] cnt0; 024 reg [9:0] cnt1; 025 reg [9:0] cnt562; 026 reg t0_clk, t1_clk;

9 027 reg [8:0] count; 028 reg [2:0] state; 029 reg data; 030 reg [31:0] d_data; (posedge clk) 033 if(!rst_n) 034 begin 035 count <= 0; 036 state <= 0; 037 tx <= 0; 038 d_data <= {8'b0,8'hff, 8'b , 8'b }; // 默认的发送数 据 039 end 040 else 041 case (state) : if(count < 10) 043 begin 044 tx <= 0; 045 count <= count + 1; 046 end 047 else if(!key) 048 begin 049 count <= 0; 050 state <= 1; 051 T9_flag <= 1; 052 tx <= 1; 053 end : if(t9_down) // 起始位高电平 9 ms 056 begin 057 state <= 2; 058 T45_flag <= 1; 059 tx <= 0; 060 T9_flag <= 0; 061 end 062 else 063 begin 064 tx <= 1; 065 state <= 1;

10 066 end : if(t45_down) // 低电平 4.5ms 069 begin 070 state <= 3; 071 tx <= 0; 072 T45_flag <= 0; 073 end 074 else 075 tx <= 0; : if(count < 32) //32 位的数据编码, 如果那一位 为 1(0) 跳转 4(5) 状态通过发送标志结束来发送出位 1 的时序 078 begin 079 count <= count + 1; 080 if(!d_data[31 - count]) 081 begin 082 T0_flag <= 1; 083 state <= 4; 084 T1_flag <= 0; 085 end 086 else 087 begin 088 T1_flag <= 1; 089 state <= 5; 090 T0_flag <= 0; 091 end 092 end 093 else 094 begin 095 count <= 0; 096 state <= 6; 097 T0_flag <= 0; 098 T1_flag <= 0; 099 end : if(t0_down) // 位 0 的设置 102 begin 103 state <= 3; 104 tx <= 0;

11 105 end 106 else 107 begin 108 tx <= t0_clk; 109 end : if(t1_down) // 位 1 的设置 112 begin 113 state <= 3; 114 tx <= 0; 115 end 116 else 117 tx <= t1_clk; : if(count < T562us - 1) // 停止位 120 begin 121 count <= count + 1; 122 tx <= 1; 123 end 124 else 125 begin tx <= 0; 128 end 129 default: state <= 0; 130 endcase (posedge clk) // 计数一个 9ms 133 if(!rst_n) 134 begin 135 T9_down <= 0; 136 cnt9 <= 0; 137 end 138 else if (T9_flag) 139 begin 140 if(cnt9 < T9ms - 1) 141 begin 142 T9_down <= 0; 143 cnt9 <= cnt9 + 1; 144 end

12 145 else 146 begin 147 T9_down <= 1; 148 cnt9 <= 0; 149 end 150 end (posedge clk) // 计数一个 4.5ms 153 if(!rst_n) 154 begin 155 T45_down <= 0; 156 cnt45 <= 0; 157 end 158 else if (T45_flag) 159 begin 160 if(cnt45 < T4500us - 1) 161 begin 162 T45_down <= 0; 163 cnt45 <= cnt45 + 1; 164 end 165 else 166 begin 167 T45_down <= 1; 168 cnt45 <= 0; 169 end 170 end reg [9:0] cnt00; 173 (posedge clk) // 产生位 0 的时序 174 if(!rst_n) 175 begin 176 t0_clk <= 0; 177 T0_down <= 0; 178 cnt0 <= 0; 179 cnt00 <= 0; 180 end 181 else if (T0_flag) 182 begin 183 if(cnt0 < T562us - 1) 184 begin

13 185 t0_clk <= 1; 186 cnt0 <= cnt0 + 1; 187 T0_down <= 0; 188 end 189 else 190 begin 191 if(cnt00 < T0-1) 192 begin 193 cnt00 <= cnt00 + 1; 194 t0_clk <= 0; 195 T0_down <= 0; 196 end 197 else 198 begin 199 T0_down <= 1; 200 cnt0 <= 0; 201 cnt00 <= 0; 202 end 203 end 204 end reg [9:0] cnt11; 207 (posedge clk) // 产生位 1 的时序 208 if(!rst_n) 209 begin 210 t1_clk <= 0; 211 T1_down <= 0; 212 cnt1 <= 0; 213 cnt11 <= 0; 214 end 215 else if (T1_flag) 216 begin 217 if(cnt1 < T562us - 1) 218 begin 219 t1_clk <= 1; 220 cnt1 <= cnt1 + 1; 221 T1_down <= 0; 222 end 223 else 224 begin

14 225 if(cnt11 < T1-1) 226 begin 227 cnt11 <= cnt11 + 1; 228 t1_clk <= 0; 229 T1_down <= 0; 230 end 231 else 232 begin 233 T1_down <= 1; 234 cnt1 <= 0; 235 cnt11 <= 0; 236 end 237 end 238 end endmodule 接收模块 : 0 module rx_led(clk, rst_n, rx, show_data); 1 2 input clk, rst_n; 3 input rx; 4 output reg [7:0] show_data; 5 6 reg [1:0] state; 7 reg [7:0] cnt; 8 reg temp; 9 reg [9:0] num; 10 reg flag; 11 reg [31:0] data; 12 reg [1:0] state_s; 13 reg flag_x; 14 reg [12:0] count; parameter T = 2566; // 一帧数据的时间 // 这个模块是中因为接受的 32 位编码数据中, 不管是位 0 还是位 1, 接受的低电平都是相 同的, 19 // 我们可以通过来判断高电平的时间来确定为位 1 还是位 0, 位 MS, 位 0

15 562.25us 20 (posedge clk) 21 if(!rst_n) 22 begin 23 num <= 0; 24 data <= 0; 25 state_s <= 0; 26 flag_x <= 0; 27 count <= 0; 28 end 29 else 30 begin 31 case (state_s) 32 0 : if(!rx) // 判断起始位, 是否接 受 = 收数据 33 begin 34 state_s <= 1; 35 flag_x <= 0; 36 count <= count + 1; 37 end 38 else 39 begin 40 flag_x <= 0; 41 state_s <= 0; 42 count <= count + 1; 43 end : if(num < ( )) // 延迟 9ms + 4.5ms 的起始时间 46 begin 47 num <= num + 1; 48 state_s <= 1; 49 count <= count + 1; 50 end 51 else 52 begin 53 num <= 0; 54 state_s <= 2; 55 count <= count + 1; 56 end

16 : if(flag && num < 32) //flag 来的时候表示接到 了位 1, 或者位 0, 59 // 通过移位寄存器来获取 32 位数据 60 begin 61 data <= {data[30:0],temp}; 62 state_s <= 2; 63 num <= num + 1; 64 count <= count + 1; 65 end 66 else if(num == 32) 67 begin 68 state_s <= 3; 69 num <= 0; 70 count <= count + 1; 71 end 72 else 73 state_s <= 2; : if(num < 21-1) // 延迟结束位的时间 76 begin 77 num <= num + 1; 78 count <= count + 1; 79 end 80 else 81 begin 82 if(count == T - 1) // 延迟一帧数据 的时间后, 发送一个标志位 83 begin 84 num <= 0; 85 state_s <= 0; 86 flag_x <= 1; 87 count <= 0; 88 count <= count + 1; 89 end 90 else 91 count <= count + 1; 92 end 93 default: state_s <= 0;

17 94 endcase 95 end (posedge clk) 98 if(!rst_n) 99 begin 100 cnt <= 0; 101 state <= 0; 102 temp <= 0; 103 flag <= 0; 104 end 105 else 106 if(state_s > 1 && state_s < 3) 107 case (state) : if(rx) 109 begin 110 cnt <= cnt + 1; 111 state <= 1; 112 flag <= 0; 113 end 114 else 115 begin 116 state <= 0; 117 flag <= 0; 118 end : if(!rx) 121 begin 122 cnt <= cnt; 123 state <= 2; 124 end 125 else 126 cnt <= cnt + 1; : if(400 < cnt * 26 && cnt * 26 < 600) // 判断 高电平的时间 129 begin 130 temp <= 0; 131 flag <= 1; 132 state <= 0;

18 133 cnt <= 0; 134 end 135 else if (1400 < cnt * 26 && cnt * 26 < 1700) // 判断高电平的时间 136 begin 137 temp <= 1; 138 flag <= 1; 139 state <= 0; 140 cnt <= 0; 141 end 142 else 143 begin 144 state <= 0; 145 cnt <= 0; 146 end 147 default: state <= 0; 148 endcase (*) // 接收完一帧数据后, 当标志位来的时候通过对数据的纠错来 捕获数据 151 // 我们接收的数据用的是左移, 而发送的时候先发的是低位 152 if(!rst_n) 153 show_data <= 0; 154 else if((data[7:0] == ~data[15:8]) && (data[31:24] == ~data[23:16]) && flag_x) 155 begin 156 show_data[0] <= data[15]; 157 show_data[1] <= data[14]; 158 show_data[2] <= data[13]; 159 show_data[3] <= data[12]; 160 show_data[4] <= data[11]; 161 show_data[5] <= data[10]; 162 show_data[6] <= data[9]; 163 show_data[7] <= data[8]; end 166 else 167 show_data <= show_data; endmodule

19 数码管模块 : 0 module seg(clk, rst_n, seg7, data_in); 1 2 input clk; 3 input rst_n; 4 input [3:0] data_in; 5 6 output reg [7:0] seg7; `define T1ms 50_000 // 分频出 1k 的时钟 10 //`define T1ms 5 11 reg [15:0] count; 12 reg flag; 13 (posedge clk or negedge rst_n) 14 if(!rst_n) 15 begin 16 count <= 15'b0; 17 flag <= 1; 18 end 19 else 20 if(count == `T1ms /2-1) 21 begin 22 count <= 15'b0; 23 flag <= ~flag; 24 end 25 else 26 begin 27 count <= count + 1'b1; 28 end (posedge flag) 31 if(!rst_n) 32 seg7 <= 8'b1010_0100; 33 else 34 begin 35 case (data_in) 36 0:seg7 <= 8'b1100_0000;

20 37 1:seg7 <= 8'b1111_1001; 38 2:seg7 <= 8'b1010_0100; 39 3:seg7 <= 8'b1011_0000; 40 4:seg7 <= 8'b1001_1001; 41 5:seg7 <= 8'b1001_0010; 42 6:seg7 <= 8'b1000_0010; 43 7:seg7 <= 8'b1111_1000; 44 8:seg7 <= 8'b1000_0000; 45 9:seg7 <= 8'b1001_0000; 46 10:seg7 <= 8'b1000_1000; 47 11:seg7 <= 8'b1000_0011; 48 12:seg7 <= 8'b1100_0110; 49 13:seg7 <= 8'b1010_0001; 50 14:seg7 <= 8'b1000_0110; 51 15:seg7 <= 8'b1000_1110; 52 default:; 53 endcase 54 end 55 endmodule 分频模块 : 0 module clk_frep(clk, rst_n, clk_38khz); 1 2 input clk, rst_n; 3 output reg clk_38khz; 4 5 reg [9:0] count; 6 7 // 分频出红外模块所用的 38Khz 的时钟 8 // 也可以用占空比为 1:3 的 38khz 的时钟 9 10 (posedge clk or negedge rst_n) 11 if(!rst_n) 12 begin 13 count <= 0; 14 clk_38khz <= 1; 15 end 16 else if(count == (50_000_000 / / 2-1)) 17 begin

21 18 clk_38khz <= ~clk_38khz; 19 count <= 0; 20 end 21 else 22 count <= count + 1'd1; endmodule 8 测试模块 : 0 `timescale 1ns/1ps 1 2 module infrared_tb(); 3 4 reg clk, rst_n; 5 reg key; 6 wire tx; 7 wire [7:0] show_data; 8 9 // 因为我们代码中只发送一次数据, 所以可以把 key 一直拉低 initial begin 12 clk = 1; 13 rst_n = 0; 14 key = 1; #100.1 rst_n = 1; #200 key = 0; end always # 10 clk = ~clk; infrared dut( 25.clk(clk), 26.rst_n(rst_n), 27.key(key), 28.tx(tx), 29.rx(rx), 30.seg1(seg1),

22 31.seg2(seg2) 32 ); endmodule 仿真图 : 仿真中我们可以把数码管模块的计数器的值改小一点, 便于仿真 如图中所示的我们发的是 32 h00ffa25d, 那么数据为是 8 b1010_0010, 那么先发送时就时就按下面的序列开始 0100_0101 接收到的为 45, 所以工程正确

姓名

姓名 flash 控制 设计 至芯科技教研部 李昭 2017-7-10 联系 QQ:984530288 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 单总线温度传感器驱动 王安然 STEP FPGA DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动 DS18B20Z 配置 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 : DS18B20Z 指令 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) ( . (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E).. (E) (A) (B) (C) (D). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (C) (D) (E) (A) (B) (C) (D) (E)

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

中華民國 第51屆中小學科學展覽會

中華民國 第51屆中小學科學展覽會 中 華 民 國 第 51 屆 中 小 學 科 學 展 覽 會 作 品 說 明 書 國 小 組 物 理 科 第 三 名 080115 問 水 哪 得 高 如 許? 為 有 熱 源 伴 水 來 學 校 名 稱 : 桃 園 縣 龍 潭 鄉 三 坑 國 民 小 學 作 者 : 指 導 老 師 : 小 六 陳 嬿 云 黃 啟 晉 小 六 張 婉 怡 關 鍵 詞 : 熱 脹 冷 縮 模 擬 燃 燒 影 響 力

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

本科学生毕业论文

本科学生毕业论文 第六章 UART 串口驱动设计 6.1 硬件分析 Mis603 使用 PL2303 桥接芯片, 将串口转 USB 这样做的好处在于, 不局限于台式机的使用 由于现在笔记本并没有传统意义上的 9 针串口接口, 故使用串口转 USB, 兼容台式机和笔记本 但无论使用何种桥接芯片, 其通信协议仍然保持一致 利用该芯片, 完成硬件调试之后, 只需安装完合适的驱动, 即可实现串口进行数据通信 具体的设计电路,

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

8

8 2013 年 08 月 资 产 配 置 报 告 动 态 希 腊 移 民 政 策 降 门 槛, 成 为 进 入 欧 盟 新 捷 径 农 业 银 行 私 人 银 行 部 资 产 配 置 策 略 报 告 课 题 组 相 关 事 件 为 缓 解 欧 债 危 机, 吸 引 国 外 投 资, 欧 洲 一 些 国 家 相 继 推 出 购 房 移 民 政 策 2013 年 4 月 9 日, 希 腊 议 会 批 准

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

Microsoft Word - 100-05-23--養生與保健_中山大學_講義

Microsoft Word - 100-05-23--養生與保健_中山大學_講義 高 雄 市 立 中 醫 醫 院 張 志 浩 醫 師 皮 膚 失 去 彈 性, 變 粗 變 乾 燥, 頭 髮 變 白, 毛 髮 稀 落, 老 人 班, 魚 尾 紋, 眼 袋 突 出 視 力 模 糊, 老 花 眼, 白 內 障 鈣 質 流 失, 腰 酸 背 痛, 骨 質 疏 鬆, 易 骨 折 記 憶 力 降 低, 精 神 不 集 中, 易 怒, 神 經 質, 焦 慮 不 安, 難 入 睡 嗅 覺 改 變

More information

1931 9 18,, 4 1933 1 1, 2 21, 1937 7 7,,,, 14, 3500, 2000 1235, 913,,,,,,, 1500, 293. 6 1946,,, 376. 6,, 895714, 3%, 1610883, 5 %, 126,,,,,, 3176123,, 153800, 484899, 354468, 976125, 895714, 239387, 71730,

More information

萬里社區老人健康照護手冊

萬里社區老人健康照護手冊 萬 里 社 區 老 人 健 康 照 護 手 冊 1. 心 肺 功 能 的 照 護 a. 每 日 運 動 至 少 30 分 鐘 ( 包 括 熱 身 運 動 ), 運 動 強 度 是 呼 吸 輕 微 增 加, 但 仍 可 互 相 交 談 不 會 有 胸 痛 氣 喘 等 狀 況 發 生, 運 動 有 流 汗 的 情 況 即 表 示 達 到 功 效, 比 較 適 當 的 運 動 包 括 打 太 極 拳 步

More information

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法1000830.doc

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法1000830.doc 法 規 名 稱 : 強 制 汽 車 責 任 保 險 承 保 及 理 賠 作 業 處 理 辦 法 修 正 日 期 : 民 國 100 年 08 月 30 日 第 一 章 總 則 第 1 條 本 辦 法 依 強 制 汽 車 責 任 保 險 法 ( 以 下 簡 稱 本 法 ) 第 四 十 六 條 規 定 訂 之 第 2 條 強 制 汽 車 責 任 保 險 證 有 關 被 保 險 汽 車 之 記 載 事 項,

More information

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc 大 家 好 今 天 很 高 兴 有 机 会 跟 各 位 探 讨 一 个 题 目 叫 做 认 识 怀 孕 与 生 产 孩 子 是 上 天 赏 赐 给 我 们 的 一 个 礼 物 现 在 怀 孕 的 妈 妈 都 已 经 拿 到 这 个 礼 物 了 而 且 可 能 都 感 觉 到 里 面 活 蹦 乱 跳 每 一 个 妈 妈 在 怀 孕 的 时 候 都 希 望 他 的 孩 子 像 图 片 上 一 样 的 是

More information

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日 新 北 市 102 學 年 度 五 年 級 國 語 文 能 力 檢 測 試 卷 五 年 班 座 號 : 姓 名 : 小 朋 友, 這 份 試 卷 共 有 兩 部 分 一 選 擇 題 : 共 32 題 請 依 照 題 意 選 出 答 案, 再 畫 記 在 答 案 卡 上 二 問 答 題 : 共 2 題 請 依 照 題 意 將 回 答 完 整 的 寫 在 答 案 紙 上 (➃)1. 下 列 選 項 中

More information

範本檔

範本檔 1 保 健 強 身 多 吃 香 蕉 雖 然 香 蕉 有 某 些 食 用 方 面 的 限 制, 但 其 豐 富 的 營 養, 在 食 物 治 療 方 面 亦 有 重 要 的 價 值, 以 下 是 香 蕉 食 療 偏 方, 提 供 給 大 家 做 參 考 : 一 治 胃 潰 瘍 : 飯 前 吃 一 根 香 蕉, 一 日 一 次 即 可, 持 續 食 用, 會 有 不 錯 的 功 效 二 防 治 動 脈

More information

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 0562-2833893 24 工 商 银 行 安 徽

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 0562-2833893 24 工 商 银 行 安 徽 附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 1 安 徽 工 商 银 行 安 徽 省 合 肥 包 河 支 行 合 肥 市 宣 城 路 158 号 关 萌 萌 0551-2868032 2 工 商 银 行 安 徽 省 合 肥 宿 州 路 支 行 合 肥 市 宿 州 路 6 号 张 虎 0551-2676596 3

More information

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調 新 北 市 土 城 區 土 城 國 民 小 學 100 學 年 度 午 餐 督 導 第 一 次 會 議 會 議 紀 錄 表 時 間 :100 年 9 月 29 日 中 午 12:40 地 點 : 土 城 國 小 第 二 會 議 室 主 席 : 陳 雨 水 校 長 會 議 紀 錄 : 鍾 君 儀 出 席 人 員 : 陳 雨 水 校 長 林 芥 佑 組 長 蘇 昭 宏 主 任 王 文 姬 主 任 陳 原

More information

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷 五 福 二 國 P1 高 雄 市 立 五 福 國 民 中 學 102 學 年 度 第 2 學 期 2 年 級 第 三 次 段 考 本 國 語 文 學 習 領 域 試 題 卷 ㄧ 國 字 注 音 :( 每 題 一 分, 共 十 二 分 ) 二 年 級 班 座 號 姓 名 1. ㄔ 梟 2. 萬 惡 淵 ㄙㄡˇ 3. 不 容 置 ㄏㄨㄟˋ 4. 口 ㄓㄨ 筆 伐 5. 鬼 迷 心 ㄑㄧㄠˋ 6. ㄅㄛˊ

More information

人 物 春 秋 杨 永 泰 将 其 削 藩 策 略 概 括 为 : 以 经 济 方 法 瓦 解 冯 玉 祥 的 第 二 集 团 军, 以 政 治 方 法 解 决 阎 锡 山 的 第 3 集 团 军, 以 军 事 方 法 解 决 李 宗 仁 的 第 四 集 团 军, 以 外 交 方 法 对 付 张 学

人 物 春 秋 杨 永 泰 将 其 削 藩 策 略 概 括 为 : 以 经 济 方 法 瓦 解 冯 玉 祥 的 第 二 集 团 军, 以 政 治 方 法 解 决 阎 锡 山 的 第 3 集 团 军, 以 军 事 方 法 解 决 李 宗 仁 的 第 四 集 团 军, 以 外 交 方 法 对 付 张 学 和录像带 希望他能看到家乡的新面貌 还经常托回 选都要家属自行设法邀请 此事招致薛岳昔日部属 乐昌探亲的台胞把亲人的问候与祝福转达 这一切 大感不平 薛岳大半生追随孙中山蒋介石 在北伐 让客居他乡的薛岳异常感动 家乡政府也没有忘记 时期曾与毛泽东周恩来有革命情谊 蒋经国犹是他 这位抗日英雄 专门拨款对他在九峰的故居进行修 的后生晚辈 这位走过波涛壮阔的人生历程 与中 葺 他的祖祠文物及 伯陵堂等建筑物都得到了妥

More information

台北老爺校外實地參訪結案報告

台北老爺校外實地參訪結案報告 產 學 合 作 案 結 案 報 告 書 華 餐 飲 96 產 學 字 第 04 號 中 華 技 術 學 院 餐 飲 系 參 與 國 際 型 宴 會 之 餐 飲 廚 務 及 服 務 技 術 之 研 究 計 畫 甲 方 : 台 北 老 爺 大 酒 店 股 份 有 限 公 司 乙 方 : 中 華 技 術 學 院 餐 飲 管 理 系 計 劃 主 持 人 : 李 沛 溱 / 共 同 主 持 人 : 林 玉 梅

More information

糖尿病食譜

糖尿病食譜 1700 ( ) ( ) 344 15 8 53 60 2 420 1 1 50 2 35 3 1 100 ( ) ( ) 120 8 4 12 1 25 2 220cc ( ) ( ) 517 23 21 59 1 60 2 90 4 50 2 35 3 1 4 2 30 2 20 3 20 4 30 5 1 1 2 100 2 1 30 ( ) ( ) 60 15 140 ( ) ( ) 480

More information

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 / /4.5 18 1/4.8 ~1/5.2 1/4.5 ~1/4.2 1/4.76 1/4.76 19 / /4.5 g g g g 3. g g g g 4.1 2 / /4. 5 20 / / 21 g 0.4g 40 2.2~2.3 1/4.6~1/4.3 2.0.2g 0.4g 60 3.2 1/4.60.1g

More information

2 34 2 41 2 3937 1955 64 14 1957 4 2 1972 3 1 138 7 20 79 8 7 28 66 14 60 25 2 9 79 17 12 189 190 6 43 1 138 1 2 166 174 145 163 468 31 34 358 1118 131 132 513 514 865 58 292 37 21 1 142 232 244

More information

,,,,,,, (,, ),,,,,,,,,,,,,,, ,,, 4 11,, ( ),,,, ( ), :, ( ),,, 1995, 66 ; ( ),, 1996, , 3-4,,

,,,,,,, (,, ),,,,,,,,,,,,,,, ,,, 4 11,, ( ),,,, ( ), :, ( ),,, 1995, 66 ; ( ),, 1996, , 3-4,, ,,,,, ( ),,,,, 1936,,, : ( ),,, 146 ,,,,,,, (,, ),,,,,,,,,,,,,,, 1936 4 9,,, 4 11,, ( ),,,, ( ), :, 1936 12 23 7 (1936 4 11 ),,, 1995, 66 ; ( ),, 1996, 990 33, 3-4,, 10 147 2000 3,,,,,,,,, :,,,,,,,,,,,,

More information

2 34 2 41 2 3937 1955 64 14 1957 4 2 1972 3 1 138 7 20 79 8 7 28 66 14 60 25 2 9 79 17 12 189 190 6 43 1 138 1 2 166 174 145 163 468 31 34 358 1118 131 132 513 514 865 58 292 37 21 1 142 232 244

More information

2002 4,,, 1941,,,,,,,,,,,,,,,,,, : ;:, 1991,

2002 4,,, 1941,,,,,,,,,,,,,,,,,, : ;:, 1991, ,,,1941 1,,,,,,,,, 1937,,,,,,,,,,,,,,,, 1 2002 4,,, 1941,,,,,,,,,,,,,,,,,, : 1992 4 ;:, 1991,302-351 2 ,,,,,,,,, 1937 2,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, : (1937 2 21 ) ; (1937 2 21 ), (), 1985,252-253,255

More information

FPGA 培训专家 FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板

FPGA 培训专家   FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板 FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板, 还有另外一种方式可以得到 ZX-2 开发板, 去论坛申请免费评测开发板 淘宝地址 : http://item.taobao.com/item.htm?spm=a1z10.1.w4004-6568874930.3.kdvq2a&id=382264

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Microsoft Word - 梁斌言:2016年度全省职业教育工作会议总结讲话提纲.doc

Microsoft Word - 梁斌言:2016年度全省职业教育工作会议总结讲话提纲.doc 2016 年 度 全 省 职 业 教 育 工 作 会 议 总 结 讲 话 提 纲 梁 斌 言 一 会 议 小 结 刚 才, 有 七 位 同 志 作 了 典 型 发 言 讲 的 都 很 好 由 于 时 间 较 短, 他 们 没 能 展 开, 但 仍 然 给 我 们 以 很 大 启 发 徐 厅 长 在 讲 话 中, 全 面 总 结 了 五 年 以 来 现 代 职 教 体 系 建 设 的 成 就 和 经

More information

中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 2016 年 是 实 施 十 三 五 规 划 的 开 局 之 年, 是 推 进 全 面 从 严 治 党 的 深 化 之 年, 是 决 胜 脱 贫 攻 坚 的 关 键 之 年 机 关 党 的

中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 2016 年 是 实 施 十 三 五 规 划 的 开 局 之 年, 是 推 进 全 面 从 严 治 党 的 深 化 之 年, 是 决 胜 脱 贫 攻 坚 的 关 键 之 年 机 关 党 的 广 食 药 监 党 组 发 2016 5 号 中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 关 于 印 发 2016 年 机 关 党 的 工 作 要 点 的 通 知 各 级 党 组 织 : 现 将 中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 印 发 给 你 们, 请 结 合 实 际 抓 好 贯 彻 落 实 附 件 :1.2016

More information

“秦火火”玩“火”自焚

“秦火火”玩“火”自焚 学 习 参 考 (2014 年 第 5 期 ) 党 委 组 织 部 党 委 宣 传 部 二 〇 一 四 年 七 月 社 会 主 义 核 心 价 值 观 基 本 内 容 : 富 强 民 主 文 明 和 谐, 自 由 平 等 公 正 法 治, 爱 国 敬 业 诚 信 友 善 目 录 基 层 党 建 中 共 中 央 办 公 厅 印 发 2014-2018 年 全 国 党 员 教 育 培 训 工 作 规 划

More information

简 讯 : 庐 江 县 气 象 监 测 预 警 中 心 主 体 结 构 顺 利 封 顶 肥 西 县 政 府 出 台 乡 镇 气 象 工 作 目 标 管 理 考 核 细 则 庐 江 县 组 织 召 开 乡 镇 气 象 灾 害 防 御 工 作 会 议 长 丰 县 局 积 极 组 织 开 展 无 偿 献

简 讯 : 庐 江 县 气 象 监 测 预 警 中 心 主 体 结 构 顺 利 封 顶 肥 西 县 政 府 出 台 乡 镇 气 象 工 作 目 标 管 理 考 核 细 则 庐 江 县 组 织 召 开 乡 镇 气 象 灾 害 防 御 工 作 会 议 长 丰 县 局 积 极 组 织 开 展 无 偿 献 合 肥 气 象 工 作 2015 年 第 八 期 总 第 246 期 本 期 导 读 : 加 强 新 合 作 确 立 新 标 杆 局 市 携 手 共 同 加 快 合 肥 率 先 实 现 气 象 现 代 化 合 肥 市 领 导 关 心 气 象 事 业 发 展 合 肥 市 财 政 大 力 支 持 和 保 障 气 象 事 业 发 展 合 肥 市 落 实 气 象 事 业 单 位 人 员 绩 效 工 资 合

More information

2013年全国农村妇女科学素质网络竞赛活动总结

2013年全国农村妇女科学素质网络竞赛活动总结 2013 年 全 国 农 村 妇 女 科 学 素 质 网 络 竞 赛 活 动 总 结 为 全 面 贯 彻 党 的 十 八 大 精 神, 落 实 全 民 科 学 素 质 行 动 计 划 纲 要 实 施 方 案 (2011 2015 年 ), 提 高 农 村 妇 女 运 用 互 联 网 获 取 农 业 生 产 科 学 生 活 低 碳 环 保 等 方 面 的 知 识 和 技 术, 引 导 农 村 妇 女

More information

目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1.

目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1. 目 录 第 1 章 毕 业 生 就 业 基 本 情 况...1 1.1 沈 阳 化 工 大 学 科 亚 学 院 概 况...1 1.2 毕 业 生 规 模...2 1.3 毕 业 生 结 构...3 1.3.1 毕 业 生 院 系 分 布...3 1.3.2 毕 业 生 专 业 分 布...3 1.3.3 毕 业 生 性 别 分 布...4 1.3.4 毕 业 生 生 源 分 布...5 1.4 毕

More information

0卷首语.FIT)

0卷首语.FIT) 筅 准 确 把 握 三 全 精 神 央 主 席 认 真 履 行 参 政 党 职 能 张 宝 文 共 十 八 届 三 全 是 在 我 国 改 革 发 展 的 重 要 关 头, 在 全 面 建 成 小 康 社 决 定 性 阶 段 召 的 一 次 重 要 议 全 鲜 明 地 举 旗 定 向 勾 画 蓝 图, 释 放 出 坚 定 不 移 地 推 进 改 革 放 的 强 烈 信 号, 对 国 特 色 社 主

More information

版块一 研究生学长对《自然地理学》科目的总结

版块一 研究生学长对《自然地理学》科目的总结 版 块 一 研 究 生 学 长 对 自 然 地 理 学 科 目 的 总 结 一 考 试 范 围 和 重 点 ( 地 学 考 研 中 心 提 供 ) 1 题 型 方 面 ( 首 师 大 自 然 考 研 群 306642939) 从 下 表 中 可 以 看 出, 首 师 自 然 地 理 学 出 题 从 07 年 采 用 名 解 + 简 答 + 论 述 的 形 式, 只 不 过 各 年 各 题 型 的 数

More information

北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京 化 工 大 学 高 度 重 视 毕 业 生 就 业

北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京 化 工 大 学 高 度 重 视 毕 业 生 就 业 北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 学 生 就 业 指 导 服 务 中 心 二 〇 一 四 年 十 二 月 北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京

More information

2014年9月月讯

2014年9月月讯 科 技 动 态 3 月 快 讯 ( 国 家 自 然 科 学 基 金 申 报 专 刊 ) 主 办 : 科 技 处 责 编 : 李 文 凤 校 对 : 李 伟 2015 年 总 第 20 期 太 原 理 工 大 学 科 技 信 息 QQ 群 号 :203560682 科 研 经 费 ( 单 位 : 万 元 ) 时 间 2015.1.1-2015.3.31 2014.1.1-2014.3.31 同 比 增

More information

( 一 ) 毕 业 生 规 模 和 就 业 率 浙 江 警 察 学 院 2014 届 毕 业 生 共 计 542 人, 均 为 本 科 毕 业 生, 其 中 浙 江 省 内 生 源 毕 业 生 516 人, 西 藏 自 治 区 生 源 毕 业 生 26 人 截 至 2014 年 12 月 10 日,

( 一 ) 毕 业 生 规 模 和 就 业 率 浙 江 警 察 学 院 2014 届 毕 业 生 共 计 542 人, 均 为 本 科 毕 业 生, 其 中 浙 江 省 内 生 源 毕 业 生 516 人, 西 藏 自 治 区 生 源 毕 业 生 26 人 截 至 2014 年 12 月 10 日, 浙 江 警 察 学 院 2014 届 毕 业 生 就 业 质 量 年 度 报 告 毕 业 与 就 业, 既 给 学 生 大 学 生 活 画 上 了 圆 满 的 句 号, 也 是 学 生 人 生 道 路 的 新 启 程 为 全 面 系 统 地 反 映 浙 江 警 察 学 院 2014 届 毕 业 生 就 业 工 作 的 实 际 情 况, 完 善 就 业 状 况 反 馈 机 制, 及 时 回 应 社 会

More information

1

1 1 2 3 4 5 6 7 渡 口 集 结 号 文 / 田 云 贵 8 三 局 的 发 源 地 在 四 川 渡 口 1965 年, 为 响 应 党 和 国 家 三 线 建 设 的 号 召, 大 批 施 工 单 位 和 人 员 来 到 这 里, 我 也 是 其 中 一 员 我 们 去 之 前, 从 未 听 说 过 渡 口, 今 天 人 们 也 已 经 无 法 从 地 图 上 找 到 这 个 地 方 事

More information

就业质量报告工作方案

就业质量报告工作方案 西 南 政 法 大 学 2015 届 毕 业 研 究 生 就 业 质 量 报 告 2015 年 12 月 目 录 编 写 说 明...3 一 数 据 来 源... 3 二 相 关 说 明... 3 第 一 章 学 校 概 况... 4 第 二 章 就 业 概 况... 7 一 毕 业 研 究 生 规 模 及 结 构... 7 二 毕 业 研 究 生 的 就 业 状 况... 8 三 毕 业 研 究

More information

内 蒙 古 大 学 创 建 于 1957 年, 是 新 中 国 成 立 后 党 和 国 家 在 少 数 民 族 地 区 创 建 最 早 的 综 合 大 学 学 校 1962 年 招 收 研 究 生,1978 年 被 确 定 为 全 国 重 点 大 学,1984 年 获 博 士 学 位 授 权,199

内 蒙 古 大 学 创 建 于 1957 年, 是 新 中 国 成 立 后 党 和 国 家 在 少 数 民 族 地 区 创 建 最 早 的 综 合 大 学 学 校 1962 年 招 收 研 究 生,1978 年 被 确 定 为 全 国 重 点 大 学,1984 年 获 博 士 学 位 授 权,199 内 蒙 古 大 学 2015 年 毕 业 生 就 业 质 量 年 度 报 告 内 蒙 古 大 学 学 生 就 业 处 2015 年 12 月 内 蒙 古 大 学 创 建 于 1957 年, 是 新 中 国 成 立 后 党 和 国 家 在 少 数 民 族 地 区 创 建 最 早 的 综 合 大 学 学 校 1962 年 招 收 研 究 生,1978 年 被 确 定 为 全 国 重 点 大 学,1984

More information

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 2 一 毕 业 生 的 觃 模 和 结 构... 2 ( 一 ) 毕 业 生 的 觃 模... 2 ( 二 ) 毕 业 生 结 构... 2 二 就 业 率... 4 ( 一 ) 总 体

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 2 一 毕 业 生 的 觃 模 和 结 构... 2 ( 一 ) 毕 业 生 的 觃 模... 2 ( 二 ) 毕 业 生 结 构... 2 二 就 业 率... 4 ( 一 ) 总 体 安 徽 审 计 职 业 学 院 2015 届 毕 业 生 就 业 质 量 年 度 报 告 安 徽 审 计 职 业 学 院 编 2016 年 1 月 目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 2 一 毕 业 生 的 觃 模 和 结 构... 2 ( 一 ) 毕 业 生 的 觃 模... 2 ( 二 ) 毕 业 生 结 构... 2

More information

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 的 规 模 和 结 构... 3 ( 一 ) 毕 业 生 的 规 模... 3 ( 二 ) 毕 业 生 结 构... 4 二 就 业 率... 5 ( 一 ) 总 体

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 的 规 模 和 结 构... 3 ( 一 ) 毕 业 生 的 规 模... 3 ( 二 ) 毕 业 生 结 构... 4 二 就 业 率... 5 ( 一 ) 总 体 安 徽 广 播 影 视 职 业 技 术 学 院 2015 届 毕 业 生 就 业 质 量 年 度 报 告 安 徽 广 播 影 视 职 业 技 术 学 院 学 生 处 编 2016 年 1 月 目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 的 规 模 和 结 构... 3 ( 一 ) 毕 业 生 的 规 模...

More information

南昌职~1

南昌职~1 南 昌 职 业 学 院 人 才 培 养 质 量 2016 年 度 报 告 目 录 一 办 学 情 况 概 述... 1 ( 一 ) 办 学 历 史... 1 ( 二 ) 办 学 定 位... 1 ( 三 ) 办 学 规 模... 1 ( 四 ) 办 学 条 件... 2 二 院 校 治 理 能 力... 2 ( 一 ) 强 化 班 子 建 设, 提 高 治 校 水 平... 2 ( 二 ) 健 全

More information

的 通 知 (30) 安 阳 市 人 民 政 府 办 公 室 关 于 印 发 代 市 长 王 新 伟 在 市 长 办 公 会 议 上 讲 话 的 通 知 (33) 大 事 记 安 阳 市 人 民 政 府 大 事 记 (2015 年 11 月 ) (38) 安 阳 市 人 民 政 府 大 事 记 (2

的 通 知 (30) 安 阳 市 人 民 政 府 办 公 室 关 于 印 发 代 市 长 王 新 伟 在 市 长 办 公 会 议 上 讲 话 的 通 知 (33) 大 事 记 安 阳 市 人 民 政 府 大 事 记 (2015 年 11 月 ) (38) 安 阳 市 人 民 政 府 大 事 记 (2 安 阳 市 人 民 政 府 公 报 2015 年 第 6 号 ( 总 第 63 号 ) 安 阳 市 人 民 政 府 办 公 室 2015 年 12 月 28 日 目 录 市 政 府 文 件 安 阳 市 人 民 政 府 关 于 进 一 步 做 好 新 形 势 下 就 业 创 业 工 作 的 实 施 意 (3) 安 阳 市 人 民 政 府 关 于 公 布 市 政 府 部 门 权 力 清 单 和 责 任

More information

关于成立化学化工学院石油炼制系和应用化学系的通知

关于成立化学化工学院石油炼制系和应用化学系的通知 化 工 院 党 2016 2 号 化 学 工 程 学 院 学 党 章 党 规 学 系 列 讲 话, 做 合 格 党 员 学 习 教 育 实 施 方 案 根 据 学 校 党 委 印 发 的 在 全 校 党 员 中 开 展 学 党 章 党 规 学 重 要 讲 话, 做 合 格 党 员 学 习 教 育 的 实 施 方 案 的 通 知 精 神, 结 合 学 院 实 际, 现 就 2016 年 在 学 院 全

More information

<4D6963726F736F667420576F7264202D2032303136C4EAD6D0BFBCD3EFCEC4C6C0BCDBD6B8C4CFA3A8B6A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D2032303136C4EAD6D0BFBCD3EFCEC4C6C0BCDBD6B8C4CFA3A8B6A8B8E5A3A92E646F63> 2016 年 上 海 市 初 中 语 文 课 程 终 结 性 评 价 指 南 一 评 价 的 性 质 目 的 和 对 象 上 海 市 初 中 毕 业 语 文 统 一 学 业 考 试 是 义 务 教 育 阶 段 的 终 结 性 评 价 它 的 指 导 思 想 是 有 利 于 落 实 教 考 一 致 的 要 求, 切 实 减 轻 中 学 生 过 重 的 学 业 负 担 ; 有 利 于 引 导 初 中 学

More information

中机质协[2016]2

中机质协[2016]2 中 国 机 械 工 业 质 量 管 理 协 会 文 件 中 机 质 协 [2016] 02 号 关 于 印 发 2016 年 中 机 质 协 工 作 要 点 的 通 知 各 省 ( 自 治 区 ) 市 机 械 汽 车 工 业 主 管 部 门 ( 行 业 办 联 合 会 ) 机 械 质 协 会 员 单 位 有 关 事 业 单 位 : 根 据 中 国 机 械 工 业 质 量 管 理 协 会 七 届 二

More information

前 言 厦 门 南 洋 职 业 学 院 是 经 福 建 省 人 民 政 府 批 准 正 式 设 立 国 家 教 育 部 备 案 具 有 独 立 颁 发 国 家 承 认 学 历 文 凭 资 格 的 全 日 制 综 合 性 普 通 高 等 院 校, 由 海 内 外 热 心 教 育 的 十 五 位 学 者

前 言 厦 门 南 洋 职 业 学 院 是 经 福 建 省 人 民 政 府 批 准 正 式 设 立 国 家 教 育 部 备 案 具 有 独 立 颁 发 国 家 承 认 学 历 文 凭 资 格 的 全 日 制 综 合 性 普 通 高 等 院 校, 由 海 内 外 热 心 教 育 的 十 五 位 学 者 厦 门 南 洋 职 业 学 院 毕 业 生 就 业 指 导 中 心 二 〇 一 五 年 十 二 月 ~ 1 ~ 前 言 厦 门 南 洋 职 业 学 院 是 经 福 建 省 人 民 政 府 批 准 正 式 设 立 国 家 教 育 部 备 案 具 有 独 立 颁 发 国 家 承 认 学 历 文 凭 资 格 的 全 日 制 综 合 性 普 通 高 等 院 校, 由 海 内 外 热 心 教 育 的 十 五

More information

目 录

目   录 2015 年毕业生就业质量年度报告 二〇一五年十二月 目 前 言...1 第 一 章 2015 届 毕 业 生 基 本 情 况...2 一 毕 业 生 总 体 情 况... 2 二 毕 业 生 生 源 地 分 布 状 况... 3 三 毕 业 生 性 别 分 布 状 况... 5 第 二 章 2015 届 毕 业 生 就 业 状 况...6 一 毕 业 生 基 本 就 业 状 况... 6 二 毕

More information

Microsoft Word - 7700-8-职业规划与就业指导正文.doc

Microsoft Word - 7700-8-职业规划与就业指导正文.doc 第 5 章 中 职 生 就 业 心 理 5.1 中 职 生 就 业 观 念 分 析 随 着 我 国 社 会 主 义 市 场 经 济 的 发 展 和 劳 动 就 业 制 度 的 改 革, 中 职 毕 业 生 就 业 实 行 不 包 分 配 双 向 选 择 择 优 录 用 的 安 置 制 度 具 体 地 说, 就 是 执 行 在 国 家 统 筹 规 划 和 指 导 下, 劳 动 部 门 介 绍 就 业,

More information

Microsoft Word - 会行党_2016_3号.doc

Microsoft Word - 会行党_2016_3号.doc 中 共 中 国 注 册 会 计 师 行 业 委 员 会 中 国 注 册 会 计 师 协 会 文 件 会 行 党 2016 3 号 关 于 印 发 注 册 会 计 师 行 业 创 新 服 务 年 主 题 活 动 实 施 方 案 的 通 知 各 省 自 治 区 直 辖 市 注 册 会 计 师 行 业 ( 协 会 ) 党 组 织, 协 会 : 现 将 注 册 会 计 师 行 业 创 新 服 务 年 主 题

More information

和 工 作 格 局 遵 循 公 正 公 开 便 民 原 则, 建 立 完 善 了 信 息 公 开 的 工 作 制 度 和 工 作 规 范 : 制 订 出 台 了 青 岛 农 业 大 学 信 息 公 开 实 施 细 则 ( 试 行 ), 明 确 了 信 息 公 开 的 内 容 公 开 途 径 和 要

和 工 作 格 局 遵 循 公 正 公 开 便 民 原 则, 建 立 完 善 了 信 息 公 开 的 工 作 制 度 和 工 作 规 范 : 制 订 出 台 了 青 岛 农 业 大 学 信 息 公 开 实 施 细 则 ( 试 行 ), 明 确 了 信 息 公 开 的 内 容 公 开 途 径 和 要 青 岛 农 业 大 学 2013 2014 学 年 度 信 息 公 开 工 作 报 告 本 报 告 按 照 高 等 学 校 信 息 公 开 办 法 高 等 学 校 信 息 公 开 事 项 清 单 和 青 岛 农 业 大 学 信 息 公 开 实 施 细 则 要 求, 根 据 青 岛 农 业 大 学 2013-2014 学 年 信 息 公 开 工 作 执 行 情 况 编 制 而 成 全 文 包 括 概

More information

标题

标题 珠 海 经 济 社 会 发 展 研 究 报 告 (2014) 扩 大 优 质 学 前 教 育 资 源, 实 施 名 园 办 民 园 可 行 性 途 径 研 究 珠 海 市 机 关 第 一 幼 儿 园 课 题 组 一 引 言 ( ) 研 究 背 景 当 前 社 会 对 优 质 学 前 教 育 资 源 的 需 求 大, 而 政 府 对 学 前 教 育 经 费 投 入 又 严 重 不 足, 导 致 优 质

More information

党 建 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 离 退 休 党 支 部 书 记 座 谈 会 4 月 22 日 下 午, 离 退 休 干 部 工 作 处 在 胜 利 楼 会 议 室 召 开 党 支 部 书 记 座 谈 会 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 会 议,

党 建 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 离 退 休 党 支 部 书 记 座 谈 会 4 月 22 日 下 午, 离 退 休 干 部 工 作 处 在 胜 利 楼 会 议 室 召 开 党 支 部 书 记 座 谈 会 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 会 议, 中 国 海 洋 大 学 离 退 休 干 部 工 作 简 讯 2014 第 一 期 ( 总 第 一 期 ) 中 国 海 洋 大 学 离 退 休 干 部 工 作 处 目 录 党 建 1 学 校 党 委 副 书 记 副 校 长 陈 锐 出 席 离 退 休 党 支 部 书 记 座 谈 会 2 离 退 休 干 部 党 支 部 书 记 集 体 学 习 习 总 书 记 系 列 讲 话 精 神 3 离 退 休 干

More information

令行立即行 上马就扬蹄

令行立即行  上马就扬蹄 张 安 教 师 工 作 坊 活 动 情 况 简 报 主 办 : 重 庆 市 梁 平 县 张 安 教 师 工 作 坊 承 办 : 张 安 教 师 工 作 坊 第 二 小 组 第 4 期 2016 年 5 月 14 日 长 硬 翅 膀 才 能 飞 得 更 高 工 作 坊 第 二 小 组 活 动 4 月 中 旬, 我 们 接 到 了 一 个 新 的 任 务 : 以 小 组 为 单 位, 开 展 一 次 研

More information

一 指 导 思 想 全 面 贯 彻 党 的 十 八 大 和 十 八 届 三 中 四 中 五 中 全 会 精 神, 深 入 学 习 习 近 平 总 书 记 系 列 重 要 讲 话 精 神, 按 照 中 央 和 上 级 政 法 公 安 机 关 关 于 加 强 队 伍 建 设 的 有 关 要 求, 聚 焦

一 指 导 思 想 全 面 贯 彻 党 的 十 八 大 和 十 八 届 三 中 四 中 五 中 全 会 精 神, 深 入 学 习 习 近 平 总 书 记 系 列 重 要 讲 话 精 神, 按 照 中 央 和 上 级 政 法 公 安 机 关 关 于 加 强 队 伍 建 设 的 有 关 要 求, 聚 焦 甬 公 海 党 2016 10 号 中 共 宁 波 市 公 安 局 海 曙 分 局 委 员 会 关 于 印 发 全 区 公 安 机 关 队 伍 建 设 年 活 动 实 施 方 案 的 通 知 本 局 各 党 支 部 : 现 将 全 区 公 安 机 关 队 伍 建 设 年 活 动 实 施 方 案 印 发 给 你 们, 请 结 合 实 际, 认 真 贯 彻 执 行 中 共 宁 波 市 公 安 局 海 曙

More information

BT-15

BT-15 基 督 徒 的 恋 爱 婚 姻 观 张 成 1 男 不 近 女 的 原 因 : 试 探 从 触 摸 开 始 上 一 课 我 们 谈 到 两 性 关 系 及 性 欲 的 问 题, 今 天 会 重 点 谈 婚 姻 在 谈 之 前, 需 要 对 上 一 课 关 于 性 试 探 方 面 的 内 容 做 一 些 补 充, 我 们 看 哥 林 多 前 书 7 章 1-2 节 : 1 论 到 你 们 信 上 所

More information

国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 ( 以 下 简 称 继 教 网 ) 在 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项

国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 ( 以 下 简 称 继 教 网 ) 在 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 二 一 二 年 三 月 二 十 六 日 国 培 计 划 (2011) 义 务 教 育 骨 干 教 师 远 程 培 训 项 目 骨 干 培 训 者 培 训 工 作 总 结 全 国 中 小 学 教 师 继 续 教 育 网 ( 以

More information

绝版亲情

绝版亲情 北 京 市 第 十 七 中 学 第 十 二 届 春 蕾 杯 优 秀 作 文 选 北 京 市 第 十 七 中 学 初 中 部 语 文 教 研 组 2012 年 5 月 目 录 绝 版 亲 情... 3 再 等 我 一 次... 5 绝 版 亲 情... 7 怀 揣 着 梦 想 的 女 孩... 9 老 师, 我 想 给 你 说 我 的 理 想... 11 友 谊 更 重 要... 12 那 时 的 我...

More information

取 企 业 一 套 表 平 台 收 集 汇 总 整 理 和 提 供 有 关 调 查 的 统 计 数 据, 综 合 整 理 和 提 供 旅 游 科 技 教 育 文 化 卫 生 体 育 社 会 保 障 公 用 事 业 等 全 区 性 基 本 统 计 数 据 6 组 织 实 施 基 本 单 位 能 源 投

取 企 业 一 套 表 平 台 收 集 汇 总 整 理 和 提 供 有 关 调 查 的 统 计 数 据, 综 合 整 理 和 提 供 旅 游 科 技 教 育 文 化 卫 生 体 育 社 会 保 障 公 用 事 业 等 全 区 性 基 本 统 计 数 据 6 组 织 实 施 基 本 单 位 能 源 投 玄 武 区 统 计 局 2015 年 部 门 预 算 编 制 说 明 一 部 门 基 本 情 况 统 计 局 是 行 政 单 位, 经 费 管 理 方 式 是 财 政 全 额 拨 款 统 计 局 内 设 综 合 法 制 科 工 业 投 资 科 贸 易 业 科 服 务 业 科 和 调 查 队, 下 属 事 业 单 位 综 合 抽 样 调 查 队 统 计 局 人 员 由 行 政 编 制 事 业 编 制

More information

Administrator

Administrator 附 件 2 贵 州 省 省 级 示 范 幼 儿 园 评 估 细 则 ( 试 行 ) 一 体 系 C1 办 园 念 (10 ) B1 幼 儿 园 领 导 (20 ) C2 管 团 队 (10 ) C3 规 划 制 定 (10 ) B2 发 展 规 划 (30 ) C4 规 划 实 施 (10 ) C5 规 划 总 结 (10 ) C6 教 职 工 配 备 (10 ) B3 队 伍 建 设 (45 )

More information

<32303131C4EAD0C2CEC5B1A8B5C0CCE2C2BC>

<32303131C4EAD0C2CEC5B1A8B5C0CCE2C2BC> 2011 年 新 闻 报 道 题 录 中 央 电 视 台 1 套 南 京 市 鼓 楼 区 湖 南 路 街 道 : 女 民 兵 敬 老 院 里 送 温 暖 1 月 26 日 新 闻 联 播 王 兆 国 在 江 苏 考 察 5 月 12 日 新 闻 联 播 从 怎 么 看 到 怎 么 干 : 怎 么 保 持 物 价 稳 定 8 月 11 日 理 论 热 点 面 对 面 南 京 市 鼓 楼 区 多 措 并

More information

标题

标题 第 4 期 科 教 司 编 2014 年 12 月 31 日 目 录 浙 江 体 育 局 狠 抓 食 品 安 全 反 兴 奋 剂 宣 传 教 育 有 创 新 注 重 宣 传 教 育 加 大 检 查 力 度 江 苏 反 兴 奋 剂 工 作 取 得 实 效 山 西 重 视 反 兴 奋 剂 宣 传 教 育 1 坚 持 不 走 过 场 不 搞 形 式 主 义 上 海 打 造 反 兴 奋 剂 精 英 团 队

More information

有 两 室, 外 加 一 个 很 小 的 房 间 和 一 个 小 厨 房 不 过 在 当 时 的 湖 边 坊, 这 就 相 当 于 一 幢 高 级 别 墅, 非 常 引 人 注 目 和 招 人 嫉 妒 姨 妈 和 姨 父 共 有 三 个 儿 子 和 一 个 女 儿 老 大 夏 天 强 比 我 大 7

有 两 室, 外 加 一 个 很 小 的 房 间 和 一 个 小 厨 房 不 过 在 当 时 的 湖 边 坊, 这 就 相 当 于 一 幢 高 级 别 墅, 非 常 引 人 注 目 和 招 人 嫉 妒 姨 妈 和 姨 父 共 有 三 个 儿 子 和 一 个 女 儿 老 大 夏 天 强 比 我 大 7 走 天 涯 (3)- 武 汉 詹 红 兵 (2015 2 24) 羊 年 春 节 刚 刚 过 去, 每 逢 佳 节 倍 思 亲, 我 又 想 起 故 乡 的 亲 人 和 美 食 趁 这 个 机 会, 在 这 里 谈 谈 2013 年 夏 天 我 行 走 武 汉 的 一 些 片 段 虽 说 我 的 老 家 黄 陂 现 在 算 是 武 汉 市 的 一 个 行 政 区, 而 我 家 所 在 的 蔡 榨 镇

More information

金 山 区 青 年 创 新 创 业 示 范 区 的 建 议 进 行 专 门 答 复 朱 波 委 员 提 出, 创 新 创 业 的 主 体 是 青 年, 要 集 聚 教 育 科 研 人 才 资 本 等 各 类 资 源 和 优 势, 加 快 建 设 青 年 创 新 创 业 示 范 区, 在 政 策 体

金 山 区 青 年 创 新 创 业 示 范 区 的 建 议 进 行 专 门 答 复 朱 波 委 员 提 出, 创 新 创 业 的 主 体 是 青 年, 要 集 聚 教 育 科 研 人 才 资 本 等 各 类 资 源 和 优 势, 加 快 建 设 青 年 创 新 创 业 示 范 区, 在 政 策 体 人 力 资 源 社 会 保 障 工 作 信 息 第 5 期 ( 总 第 90 期 ) 上 海 市 金 山 区 人 力 资 源 和 社 会 保 障 局 办 公 室 编 2015 年 5 月 25 日 重 点 导 读 副 区 长 吴 瑞 弟 上 门 答 复 政 协 委 员 提 案 市 医 保 中 心 副 主 任 闵 倍 丽 来 金 调 研 金 山 区 2015 年 职 业 技 能 竞 赛 正 式 开 幕

More information

趋 61 中 国 必 须 创 新 新 教 育 价 值 观 刘 道 玉 64 学 校 常 规 管 理 的 常 与 新 李 瑾 瑜 69 教 育 就 要 宽 柔 养 育 王 立 志 目 录 阅 读 72 全 民 阅 读 应 成 为 国 家 战 略 朱 永 新 77 一 世 读 书 抵 封 侯 陈 先 达

趋 61 中 国 必 须 创 新 新 教 育 价 值 观 刘 道 玉 64 学 校 常 规 管 理 的 常 与 新 李 瑾 瑜 69 教 育 就 要 宽 柔 养 育 王 立 志 目 录 阅 读 72 全 民 阅 读 应 成 为 国 家 战 略 朱 永 新 77 一 世 读 书 抵 封 侯 陈 先 达 目 录 2014 年 第 1 期 ( 总 第 23 期 )2014 年 1 月 15 日 出 版 趋 目 录 页 眉 页 边 等 文 章 增 减 完 后 再 做! 目 录 趋 61 中 国 必 须 创 新 新 教 育 价 值 观 刘 道 玉 64 学 校 常 规 管 理 的 常 与 新 李 瑾 瑜 69 教 育 就 要 宽 柔 养 育 王 立 志 目 录 阅 读 72 全 民 阅 读 应 成 为 国

More information

Microsoft Word - 第三期简报1.doc

Microsoft Word - 第三期简报1.doc 国 培 计 划 (2012) 陕 西 省 农 村 骨 干 教 师 培 训 项 目 工 作 简 报 第 三 期 陕 西 省 教 育 厅 师 资 与 师 范 教 育 处 陕 西 省 中 小 学 教 师 国 培 计 划 项 目 执 行 办 公 室 2012 年 10 月 15 日 培 训 动 态 远 程 培 训 项 目 进 展 顺 利, 落 地 开 花 全 国 中 小 学 继 续 教 育 网 率 先 启

More information

山东体育学院

山东体育学院 山 东 体 育 学 院 2014 届 毕 业 生 就 业 质 量 年 度 报 告 1 目 录 第 一 部 分 : 毕 业 生 就 业 基 本 情 况... - 4 - 一 综 述... - 4 - 二 毕 业 生 的 规 模... - 5 - 三 毕 业 生 的 结 构... - 6 - ( 一 ) 毕 业 生 院 系 分 布... - 6 - ( 二 ) 毕 业 生 的 基 本 情 况... -

More information

标题

标题 河 北 省 省 会 精 神 文 明 建 设 委 员 会 办 公 室 石 家 庄 市 志 愿 服 务 指 导 委 员 会 石 家 庄 市 志 愿 服 务 总 队 石 家 庄 市 志 愿 服 务 基 金 会 文 件 石 文 明 办 2014 25 号 关 于 命 名 全 市 优 秀 志 愿 服 务 集 体 和 个 人 的 决 定 各 县 ( 市 ) 区 文 明 办, 市 直 机 关 工 委, 市 委 农

More information

目 录 学 校 概 况... 1 报 告 说 明... 2 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 基 本 情 况... 3 ( 一 ) 本 与 科 毕 业 生 人 数 不 比 例... 3 ( 二 ) 各 系 毕 业 生 人 数 分 布... 3 ( 三 ) 毕

目 录 学 校 概 况... 1 报 告 说 明... 2 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 基 本 情 况... 3 ( 一 ) 本 与 科 毕 业 生 人 数 不 比 例... 3 ( 二 ) 各 系 毕 业 生 人 数 分 布... 3 ( 三 ) 毕 合 肥 学 院 2015 年 毕 业 生 就 业 质 量 年 度 报 告 合 肥 学 院 招 生 就 业 处 编 2016 年 1 月 目 录 学 校 概 况... 1 报 告 说 明... 2 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 基 本 情 况... 3 ( 一 ) 本 与 科 毕 业 生 人 数 不 比 例... 3 ( 二 ) 各 系 毕 业 生 人 数

More information

标题

标题 地方法治蓝皮书 19 江阴市检察院未成年人 刑事检察调研报告 陈春来 摘 要 经过长期的探索和实践 江阴市检察院逐步形成了 一体两 翼三平台 的未成年人刑事检察工作模式 为平等保护涉罪 外来未成年人取保候审的权利 在全国首创观护教育基地 通过政府购买公共服务 将未成年人刑事检察社会化工作交 由市青少年权益保护协会承担 推动建立起未成年人司法借 助社会专业力量的长效机制 关键词 未成年人 刑事检察 观护帮教工作站

More information

专业特色、实施过程和效果说明

专业特色、实施过程和效果说明 9.1 专 业 特 色 实 施 过 程 和 效 果 说 明 高 校 代 码 及 名 称 :10419 井 冈 山 大 学 专 业 代 码 及 名 称 :050101 汉 语 言 文 学 一 专 业 特 色 依 据 复 合 型 人 才 培 养 定 位, 基 于 厚 基 础 重 素 养 强 能 力 人 才 培 养 理 念, 充 分 利 用 地 方 红 色 古 色 文 化 资 源 优 势 和 传 统 文

More information

- 1 - 学 校 简 介 烟 台 大 学 文 经 学 院 成 立 于 2003 年, 为 全 日 制 本 科 层 次 普 通 综 合 类 高 校, 是 国 家 教 育 部 首 批 确 认 的 独 立 学 院 目 前 在 校 生 一 万 二 千 余 人 2005 年 1 月, 学 院 以 优 异 成 绩 通 过 教 育 部 独 立 学 院 办 学 条 件 和 教 学 工 作 专 项 检 查 2006

More information

吉林师范大学博达学院

吉林师范大学博达学院 吉 林 师 范 大 学 博 达 学 院 2015 届 毕 业 生 就 业 质 量 年 度 报 告 2015 年 12 月 目 录 前 言... 3 第 一 部 分 毕 业 生 基 本 情 况... 5 1.1 毕 业 生 规 模... 5 1.2 各 系 专 业 毕 业 生 数... 6 1.3 教 师 教 育 非 教 师 教 育 专 业 毕 业 生 数... 7 1.4 男 女 生 毕 业 生 人

More information

综合练习与检测八下.tpf

综合练习与检测八下.tpf 吉 林 省 中 小 学 教 材 审 定 委 员 会 审 定 综 合 练 习 与 检 测 八 年 级 下 册 新 课 标 实 验 教 材 编 写 组 编 目 录 一 诗 歌 二 首 (1) 二 格 律 诗 八 首 (6) 三 词 二 首 ( 10) 阶 段 检 测 题 ( 15) 四 聪 明 人 和 傻 子 和 奴 才 ( 18) 五 驴 和 人 的 新 寓 言 ( 21) 阶 段 检 测 题 ( 23)

More information