URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完

Size: px
Start display at page:

Download "URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完"

Transcription

1 URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完成的操作是 做减运算, 且在结果为负值时转移 URISC 的指令形式如下 : 第一个操作数地址第二个操作数地址运算结果为负时的转移地址由于只有一条指令, 故在执行时不需要译码, 其执行过程如下 : (1) 从第二个操作数中减去第一个操作数, 并把运算结果存储在第二个操作数的地址中 ; (2) 如果减法运算得到的结果为负数, 则转移到指定的地址执行, 否则执行下一个地址中的指令 ; (3) 如果转移到地址 0, 则停止 RISC 的运行 在本设计中, 假设 URISC 处理器是 8 位的, 且每一条指令都是三字节指令, 占用存储器的三个单元, 因此需要三个存储器读取周期才能将指令读出来 而且本设计采用冯诺依曼结构, 指令和数据均存在同一个存储器中 二 URISC 处理器结构设计冯诺依曼体系计算机系统框图一般如图 1 所示, 包括数据单元 控制单元和存储器 图 1 URISC 处理器系统框图 其中, 数据单元对数据进行传输和处理, 控制单元则为数据单元提供控制信号, 使数据 单元中的各个模块能够协调地工作, 以实现特定的功能 教材中提供了可参考的数据单元如图 2 所示

2 图 2 教材中 URISC 处理器的数据单元教材上已经对相应的控制信号做了详细的说明, 这里不再重复 需要指出的是, 完全按照教材上给出的数据单元和控制时序, 在寄存器传输级来实现是不可能的 下面以状态 0 时要完成的操作来分析原因 根据教材上的说明, 在时钟 PH2 的下降沿, 如果输入控制信号有效,PC R MDR 和 MAR 将进行更新 ; 而存储器的读 / 写操作 控制器状态的转换 控制信号的更新均在时钟 PH1 的下降沿 在状态 0, 控制信号 PCOUT ZIN MARIN 和 READ 有效 当 PCOUT 有效后,PC 中的值被传送到 BUS_A 上, 并通过加 0 操作传输到 BUS_B 上, 在 PH2 的下降沿,PC 的值写到地址寄存器 MAR 中 接着, 在 PH1 的下降沿过后, 存储器的输出有效 此后状态转入 s1 态 可见, 如果存储器是同步输出, 则其输出的数据无法写入数据寄存器 MDR 中 为了解决这一问题, 有两种可以解决的思路 :( 1) 在每一次 READ 操作后增加一个等待状态, 确保存储器中的数据能够写入数据寄存器 MDR 中 ;(2) 考虑到 MDR 寄存器最主要的功能是将加法器的输出同步锁存, 并在 WRITE 信号有效时将其写入到存储器指定的地址中, 因此, 存储器的输出可以不经过 MDR 寄存器, 而是经过一个三态门连接到 BUS_A 上, 如果三态门的控制信号为 MDROUT, 则进入状态 s1 后, 恰好可以使用存储器输出的数据, 而不需要增加额外的状态, 也不需要改变相关的控制信号 所以, 本设计选择了第二种修改方案 另外, 本设计还使用状态寄存器 Z 和 N 的输出 ZEND NNEND 作为控制单元的输入条件信号, 来决定是否动态挂起或转移到指定的地址, 省去教材中提到的控制信号 ZEND 和 NNEND 的 修改后的数据单元结构如图 3 所示

3 PCOUT 程序计数器 PC PCIN RIN 寄存器 R COMP ZIN NIN 加法器 ACC CIN Z N NNEND ZEND 数据寄存器 MDR MDRIN 地址寄存器 MAR MARIN MDROUT 存储器 READ WRITE BUS_A BUS_B 图 3 修改后的 URISC 处理器的数据单元 对原来的数据单元做了适当的修改后, 指令的执行仍然只需要 9 个状态, 在每个状 态控制信号的输出如表 1 所示 s0 s1 s2 s3 s4 s5 s6 s7 s8 pcin pcout rin comp cin zin nin mdrin mdrout marin read write 表 1 指令执行状态对应的控制信号输出 由于 PCOUT 和 MDROUT 实际上控制的是三态门, 只要是高电平输出就即刻有效, 并不需要等待时钟沿的到来 ; 而所有寄存器的更新, 都需要相应的写入控制信号有效,

4 且在时钟 PH2 的下降沿才能进行 ; 存储器的读操作, 需要在时钟 PH1 的下降沿, 且 READ 信号有效时存储器的输出才有效 ; 存储器的写操作, 也需要在 PH1 的下降沿, 且 WRITE 信号有效时, 输入数据才能写入指定的地址中 根据上述的寄存器和存储器的读写操作规定, 下面详述指令的执行过程 在状态 s0,pcout 有效,PC 的值即刻输出到总线 BUS_A 上, 并被传输到加法器的一个输入端, 此时 COMP 为 0, 故加法器的另一端为 0, 且 cin 为 0, 加法器的输出依然为 PC 的值, 并被送到 BUS_B 上, 然后在 PH2 的下降沿,MARIN 有效,PC 的值写进地址寄存器 MAR 中 对于加法器, 如果输出为 0, 和 Z 寄存器相连接的输出端将被置为 1, 否则为 0; 如果输出为负值, 和 N 寄存器相连接的一端将被置为 1 否则为 0 此时由于 ZIN 也有效, 故输出 ZEND 也被更新 在时钟 PH1 的下降沿, 由于 READ 信号有效, 故 PC 地址中的值将被读出, 此时存储器输出的值为第一个操作数的地址 同时, 在时钟 PH1 的下降沿, 如果 ZEND 为 1, 说明 PC 的值为 0, 则状态依然保持为 s0, 从而实现存储器的动态挂起, 否则转入 s1 态 在 s1 态, 由于 MDROUT 控制信号有效, 存储器的输出, 即第一个操作数的地址被送到总线 BUS_A, 上, 类似地, 由于 MARIN 有效, 故在时钟 PH2 的下降沿, 第一个操作数的地址送入地址寄存器 MAR 中 在时钟 PH1 的下降沿, 由于 READ 信号为 1, 故存储器输出的值为第一个操作数, 同时,s1 态无条件转入 s2 态 在 s2 态, 由于 MDROUT 控制信号有效, 故第一个操作数被送到总线 BUS_A 上, 在时钟 PH2 的下降沿, 由于 RIN 信号有效, 故第一个操作数被送进寄存器 R 中 在时钟 PH1 的下降沿,s2 态无条件转入 s3 态 在 s3 态, 由于 PCOUT 为 1, 故 PC 的值被送到总线 BUS_A 上, 由于 COMP 为 0 而 CIN 为 1, 故 PC 加 1 后送到总线 BUS_B 上 ; 在时钟 PH2 的下降沿, 由于 MARIN 有效, 故 PC+1 被送入地址寄存器 MAR 中 在时钟 PH1 的下降沿, 由于 READ 信号有效, 故存储器输出的值为第二个操作数的地址 ; 同时,s3 态无条件转入 s4 态 在 s4 态, 由于 MDROUT 为 1, 第二个操作数的地址被送到总线 BUS_A 上, 类似地, 在时钟 PH2 的下降沿, 由于 MARIN 信号有效, 故第二个操作数的地址被送入地址寄存器 MAR 中 在时钟 PH1 的下降沿, 由于 READ 信号有效, 故存储器的输出值为第二个操作数 ; 同时 s4 态无条件转入 s5 态 在 s5 态, 由于 MDROUT 为 1, 故第二个操作数被送到总线 BUS_A 上, 由于 COMP 和 CIN 均为 1, 故加法器实现第二个数减去第一个操作数 ( 寄存器 R 的输出是第一个操作数取反后的结果 ), 并将相减的结果送到 BUS_B 上 在时钟 PH2 的下降沿, 由于 MDRIN 信号有效, 故二者相减的结果被送进数据寄存器 MDR 中 ; 同时由于 NIN 有效, 故 NNEND 的值将被更新 在时钟 PH1 的下降沿, 由于 WRITE 信号有效, 且此时 MARIN 的值为第二个个操作数的地址, 故第二个操作数减第一个操作数的结果将被写入第二个操作数的

5 地址中 ; 同时 s5 态无条件转入 s6 态 在 s6 态, 由于 PCOUT 为 1, 故 PC 的值被送到总线 BUS_A 上, 由于 COMP 为 0, CIN 为 1, 故 PC 加 1 后被送到总线 BUS_B 上 在时钟 PH2 的下降沿, 由于 MARIN 和 PCIN 均为 1, 故 PC 加 1 的值同时被送进 PC 寄存器和 MAR 寄存器中 在时钟 PH1 的下降沿, 由于 READ 信号有效, 故存储器的输出值为相减结果为负值时的跳转地址 ; 同时 s6 态无条件转入 s7 态 在 s7 态, 由于 PCOUT 为 1, 故 PC 的值被送到 BUS_A 上, 由于 COMP 为 0,CIN 为 1, 故 PC 加 1 后被送到总线 BUS_B 上 在时钟 PH2 的下降沿, 由于 PCIN 为 1, 故 PC 加 1 的值被送入 PC 寄存器中 在 PH1 的下降沿, 由于 READ 和 WRITE 信号均无效, 故存储器无操作, 输出值依然是相减结果为负值时的跳转地址 在时钟 PH1 的下降沿, 如果条件信号 NNEND 的值为 1, 说明需要跳转到指定的地址, 则 s7 态转入 s8 态 ; 否则转入 s0 态, 开始执行新的指令 在 s8 态, 由于 MDROUT 有效, 故跳转地址被送到 BUS_A 上, 由于 COMP 为 0,CIN 为 0, 故跳转地址被送到 BUS_B 上 在时钟 PH2 的下降沿, 由于 PCIN 为 1, 故跳转地址送被入 PC 寄存器中 在时钟 PH1 的下降沿 s8 态无条件转入 s0 态, 从跳转地址处开始执行新的指令 根据上述的指令执行过程, 可以得到控制器的状态转移图如图 4 所示 ZEND=1 s0 ZEND=0 s1 NNEND=1 s8 NNEND=0 s2 s7 s3 s6 s5 s4 图 4 控制单元状态转移图 最后需要指出的是, 为了保证 URISC 能够正常地运行, 还需要一个全局复位信号

6 reset 当 reset 为高电平时, 控制器则无条件地转入 s0 态, 同时程序计数器 PC 的值被复位到初始运行地址 1 三 URISC 处理器仿真结果及分析根据修改后的数据单元 控制单元和存储器, 可以用 Verilog HDL 语言在寄存器传输级进行描述, 详细的代码见第四部分 接着对所涉及的 URISC 进行功能仿真 为了验证指令是否能够正确地执行, 首先让 URISC 处理器作减法运算 2-1=1, 为负时跳转到地址 0; 接着让 URISC 处理器做减法运算 1-2=-1, 为负时跳转到地址 0 这样第一条指令执行完后不进行跳转, 接着执行第二条指令, 第二条指令执行完成后跳转到地址 0, 并动态挂起, 不再执行指令 为了实现上述操作, 初始化 RAM 如下 : mem[0]=8'b0000_0000; mem[1]=8'b0000_0111; mem[2]=8'b0000_1000; mem[3]=8'b0000_0000;// mem[4]=8'b0000_1001; mem[5]=8'b0000_1010; mem[6]=8'b0000_0000;// mem[7]=8'b0000_0001; mem[8]=8'b0000_0010; mem[9]=8'b0000_0010; mem[10]=8'b0000_0001; 利用 nclaunch 工具进行功能仿真, 得到的输出结果如图 5 所示 图 5 URISC 处理器功能仿真结果

7 从图中可以看出, 当两条指令执行完成后, 控制单元一直处于 0 状态,urisc 处理器已经动态挂起 ; 而且第二个操作数的地址 8 和 10 中的内容在状态 5 之后分别变为 1 和 -1, 可见, 第二个数减第一个数的结果写入了第二个操作数的地址中, 指令功能执行正确 相关的控制信号和条件信号 (ZEND 和 NNEND) 也已经在图中给出,bus_a 信号为存储器的输出 可以看到, 这些信号的值都和预想的相符合, 从而验证了 URISC 处理器功能的正确性 四 URISC 处理器寄存器传输级 Verilog HDL 源代码 urisc_datapath.v module PC_reg(clk2,reset,pcin,pcout,bus_b,bus_a); input clk2,reset,pcin,pcout; input[7:0] bus_b; output reg[7:0] bus_a; reg[7:0] data; always@(negedge clk2 or posedge reset) if (reset) data<=8'b0000_0001; if (pcin) data<=bus_b; always@(*) if (pcout) bus_a=data; bus_a=8'bzzzz_zzzz; module module R_reg(clk2,rin,comp,bus_a,dout); input clk2,rin,comp; input[7:0] bus_a; output reg[7:0] dout; reg[7:0] data; always@(negedge clk2) if (rin) data<=bus_a; always@(*)

8 if (comp) dout=~data; dout=8'b0000_0000; module module adder(clk2,bus_a,rout,cin,zin,nin,z,nn,bus_b); input clk2,cin,zin,nin; input[7:0] bus_a,rout; output[7:0] bus_b; output reg z,nn; reg Z_temp,N_temp; wire[7:0] data; assign bus_b=data; assign data=rout+bus_a+cin; if (data==8'b0000_0000) Z_temp=1'b1; Z_temp=1'b0; if (bus_a[7]==1'b0 && rout[7]==1'b0 && data[7]==1'b1) N_temp=1'b0; N_temp=data[7]; clk2) if (zin) z<=z_temp; clk2) if (nin) nn<=n_temp; module module MDR(clk2,mdrin,bus_b,ram_in); input clk2,mdrin; input[7:0] bus_b;

9 output reg[7:0] ram_in; clk2) if(mdrin) ram_in<=bus_b; module module MAR(clk2,marin,bus_b,marout); input clk2,marin; input[7:0] bus_b; output reg[7:0] marout; clk2) if (marin) marout<=bus_b; module module urisc_datapath(clk2, reset, pcin, pcout, rin, comp, cin, zin, nin, mdrin, marin, read, write, z, nn, marout, ram_in, bus_a); input clk2; input reset; input pcin; input pcout; input rin; input comp; input cin; input zin;

10 input nin; input mdrin; input marin; input read; input write; output z; output nn; output[7:0] marout; output[7:0] ram_in; output[7:0] bus_a; wire[7:0] bus_b; wire[7:0] dout; PC_reg u_pc_reg(.clk2(clk2),.reset(reset),.pcin(pcin),.pcout(pcout),.bus_b(bus_b),.bus_a(bus_a)); R_reg u_r_reg(.clk2(clk2),.rin(rin),.comp(comp),.bus_a(bus_a),.dout(dout)); adder u_adder(.clk2(clk2),.bus_a(bus_a),.rout(dout),.cin(cin),.zin(zin),.nin(nin),.z(z),.nn(nn),.bus_b(bus_b)); MDR u_mdr(.clk2(clk2),.mdrin(mdrin),.bus_b(bus_b),.ram_in(ram_in)); MAR u_mar(.clk2(clk2),.marin(marin),.bus_b(bus_b),.marout(marout)); module urisc_controller.v module urisc_controller(clk1, reset, z, nn, pcin, pcout, rin, comp, cin, zin, nin, mdrin, mdrout, marin, read, write); input clk1; input reset; input z; input nn; output reg pcin;

11 output reg pcout; output reg rin; output reg comp; output reg cin; output reg zin; output reg nin; output reg mdrin; output reg mdrout; output reg marin; output reg read; output reg write; reg[3:0] state; parameter s0=4'b0000, s1=4'b0001, s2=4'b0010, s3=4'b0011, s4=4'b0100, s5=4'b0101, s6=4'b0110, s7=4'b0111, s8=4'b1000; clk1 or posedge reset) if (reset) state<=s0; case(state) s0: if (z) state<=s0; state<=s1; s1:state<=s2; s2:state<=s3; s3:state<=s4; s4:state<=s5; s5:state<=s6; s6:state<=s7; s7: if(nn) state<=s8;

12 state<=s0; s8:state<=s0; default:state<=s0; case // output of pcin if (state==s3 state==s6 state==s7 state==s8) pcin=1'b1; pcin=1'b0; // output of pcout if (state==s0 state==s3 state==s6 state==s7) pcout=1'b1; pcout=1'b0; // output of rin if (state==s2) rin=1'b1; rin=1'b0; // output of comp if (state==s5) comp=1'b1; comp=1'b0; // output of cin if (state==s3 state==s5 state==s6 state==s7) cin=1'b1;

13 cin=1'b0; // output of zin if (state==s0) zin=1'b1; zin=1'b0; // output of nin if (state==s5) nin=1'b1; nin=1'b0; // output of mdrin if (state==s5) mdrin=1'b1; mdrin=1'b0; // output of mdrout if (state==s1 state==s2 state==s4 state==s5 state==s8) mdrout=1'b1; mdrout=1'b0; // output of marin if (state==s0 state==s1 state==s3 state==s4 state==s6) marin=1'b1; marin=1'b0; // output of read

14 if (state==s0 state==s1 state==s3 state==s4 state==s6) read=1'b1; read=1'b0; // output of write if (state==s5) write=1'b1; write=1'b0; module urisc_ram.v module urisc_ram(clk1,read,write,mdrout,addr,ram_in,bus_a); input clk1,read,write,mdrout; input[7:0] addr; input[7:0] ram_in; output reg[7:0] bus_a; reg[7:0] mem[255:0]; reg[7:0] data; initial mem[0]=8'b0000_0000; mem[1]=8'b0000_0111; mem[2]=8'b0000_1000; mem[3]=8'b0000_0000; mem[4]=8'b0000_1001; mem[5]=8'b0000_1010; mem[6]=8'b0000_0000; mem[7]=8'b0000_0001; mem[8]=8'b0000_0010; mem[9]=8'b0000_0010; mem[10]=8'b0000_0001; clk1) if (read) data<=mem[addr];

15 clk1) if (write) mem[addr]<=ram_in; if(mdrout) bus_a=data; bus_a=8'bzzzz_zzzz; module urisc.v `include "urisc_datapath.v" `include "urisc_controller.v" `include "urisc_ram.v" module urisc(clk1,clk2,reset); input clk1,clk2,reset; wire pcin; wire pcout; wire rin; wire comp; wire cin; wire zin; wire nin; wire mdrin; wire mdrout; wire marin; wire read; wire write; wire z; wire nn; wire[7:0] marout; wire[7:0] ram_in; wire[7:0] bus_a; urisc_datapath datapath(.clk2(clk2),.reset(reset),.pcin(pcin),.pcout(pcout),.rin(rin),

16 .comp(comp),.cin(cin),.zin(zin),.nin(nin),.mdrin(mdrin),.marin(marin),.read(read),.write(write),.z(z),.nn(nn),.marout(marout),.ram_in(ram_in),.bus_a(bus_a)); urisc_controller controller(.clk1(clk1),.reset(reset),.z(z),.nn(nn),.pcin(pcin),.pcout(pcout),.rin(rin),.comp(comp),.cin(cin),.zin(zin),.nin(nin),.mdrin(mdrin),.mdrout(mdrout),.marin(marin),.read(read),.write(write)); urisc_ram ram(.clk1(clk1),.read(read),.write(write),.mdrout(mdrout),.addr(marout),.ram_in(ram_in),.bus_a(b us_a)); module urisc_test.v `include "urisc.v" module urisc_test(); reg clk1,clk2,reset; initial clk1=1'b1; forever

17 #100 clk1=~clk1; initial clk2=1'b0; forever #100 clk2=~clk2; initial reset=0; #10 reset=1'b1; #250 reset=1'b0; urisc u_urisc(.clk1(clk1),.clk2(clk2),.reset(reset)); module

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63>

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63> 第六章中央处理器 2. 简单回答下列问题 ( 参考答案略 ) ( 1) CPU 的基本组成和基本功能各是什么? ( 2) 取指令部件的功能是什么? ( 3) 控制器的功能是什么? ( 4) 为什么对存储器按异步方式进行读写时需要 WMFC 信号? 按同步方式访问存储器时, CPU 如何实现存储器读写? ( 5) 单周期处理器的 CPI 是多少? 时钟周期如何确定? 为什么单周期处理器的性能差? 元件在一个指令周期内能否被重复使用?

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

SB 綱 領 : (1) 消 防 服 務 管 制 人 員 : 就 年 度 需 要 特 別 留 意 的 事 項 中, 當 局 提 到 年 度 內, 消 防 處 會 啟 用 啟 德 新 建 並 設 有 救 護 設 施 的 消 防 局, 請 告 知 有 關

SB 綱 領 : (1) 消 防 服 務 管 制 人 員 : 就 年 度 需 要 特 別 留 意 的 事 項 中, 當 局 提 到 年 度 內, 消 防 處 會 啟 用 啟 德 新 建 並 設 有 救 護 設 施 的 消 防 局, 請 告 知 有 關 SB233 4865 綱 領 : (3) 救 護 服 務 管 制 人 員 : 處 方 表 示, 在 2013-14 年 度 會 啟 用 救 護 信 息 宣 傳 車, 以 繼 續 加 強 宣 傳 教 育 市 民 適 當 地 使 用 緊 急 救 護 服 務, 其 詳 情 及 為 何? 提 問 人 : 陳 家 洛 議 員 救 護 信 息 宣 傳 車 於 2013 年 年 初 投 入 服 務, 消 防 處

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

2005-11

2005-11 2005-11 看 不 见 的 星 球 告 诉 我 一 些 迷 人 的 星 球 吧, 我 不 喜 欢 残 酷 和 恶 心 的 场 面 你 说 好 吧, 我 笑 着 点 点 头, 当 然, 没 问 题 希 希 拉 加 希 希 拉 加 是 一 个 迷 人 的 星 球, 鲜 花 和 湖 泊 让 所 有 旅 人 过 目 不 忘 在 希 希 拉 加, 你 见 不 到 一 寸 裸 露 的 土 壤, 每 一 块

More information

1984 1295 43 500 700 2 3 65 50 10 1 3 5 5 10 1757 150 100 1950 100 60 1953 1968 118 05 142 45 1976 601 2 523 8 1968 4 20 1983 513 6 56 96 36

1984 1295 43 500 700 2 3 65 50 10 1 3 5 5 10 1757 150 100 1950 100 60 1953 1968 118 05 142 45 1976 601 2 523 8 1968 4 20 1983 513 6 56 96 36 16 11 6 9 1920 900 31 350 60 3 5 36 150 50 60 2000 1000 1974 8200 1978 25000 1983 2097 7 35 1984 1295 43 500 700 2 3 65 50 10 1 3 5 5 10 1757 150 100 1950 100 60 1953 1968 118 05 142 45 1976 601 2 523

More information

除外責任修正條文對照.doc

除外責任修正條文對照.doc 宏 泰 人 壽 住 院 醫 療 保 險 附 約 除 外 責 任 本 次 送 審 條 文 前 次 送 審 條 文 說 明 第 十 一 條 : 被 保 險 人 因 下 列 原 因 所 致 之 疾 病 或 傷 害 而 住 院 診 療 者, 本 公 司 不 負 給 付 該 被 保 險 人 各 項 保 險 金 的 責 任 一 被 保 險 人 之 故 意 行 為 ( 包 括 自 殺 及 自 殺 未 遂 ) 二

More information

卫生监督信息2(12).FIT)

卫生监督信息2(12).FIT) 目 录 惠 州 监 督 信 息 匀 哉 陨 在 匀 韵 哉 陨 晕 云 韵 砸 酝 粤 栽 陨 韵 晕 韵 云 匀 耘 粤 蕴 栽 匀 陨 晕 杂 孕 耘 悦 栽 陨 晕 本 刊 专 稿 我 所 召 开 野 三 打 冶 工 作 推 进 会 专 题 专 栏 渊 1 冤 圆 园 员 2 年 第 2 期 渊 总 第 猿 7 期 冤 三 打 两 建 惠 州 卫 监 人 在 行 动 渊 2 冤 野 三 德 冶

More information

untitled

untitled 11 12 12 14 14 16 16 18 18 19 19 20 21 22 22 23 24 26 26 33 38 39 42 44 49 52 54 55 55 87 99 132 141 141 143 144 146 147 148 152 158 159 159 160 161 161 162 162 165 172 173 173 174 183 184 184 185 199

More information

Ps22Pdf

Ps22Pdf 1.?,,,,,,,,,,,,,,,, ;,,,,,, 1 , ( ),, :,,,,,,,,,,, 2.?, 2 ,,,,,, (),, ;,,,,,,,, (1) : ; ; ; ;, (2) ( ),,, ( ),,, 3 , : 3.? (1),,,,,,, (2),, ;, ;,, (3),,, (4),, (5),,,,, 4 4.?,, (1),,,,,,, (2),,,,,,,,,,,,,,,

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿;

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿; 名 词 工 作 简 报 第 4 期 ( 总 第 240 期 ) 全 国 科 学 技 术 名 词 审 定 委 员 会 事 务 中 心 编 印 2015 年 12 月 25 日 医 学 美 学 与 美 容 医 学 名 词 正 式 公 布 测 绘 学 名 词 ( 第 四 版 ) 等 8 种 科 技 名 词 预 公 布 中 国 社 科 院 秘 书 长 高 翔 出 席 审 定 工 作 会 议 并 讲 话 两

More information

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章 簡 章 103 年 度 即 測 即 評 學 科 測 試 與 即 測 即 評 及 發 證 技 術 士 技 能 檢 定 簡 章 報 名 書 表 勘 誤 表 日 期 103 年 6 月 12 日 封 面 封 面 10302A 10303A 報 檢 人 重 點 摘 要 提 示 1 6. 大 陸 地 區 人 民 ( 大 陸 配 偶 大 陸 學 位 生 ( 陸 生 就 學 ) 及 專 案 許 可 取 得 長 期

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

姓名

姓名 flash 控制 设计 至芯科技教研部 李昭 2017-7-10 联系 QQ:984530288 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

Microsoft Word - report 4.doc

Microsoft Word - report 4.doc , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA 1.1.1 1.1.2 1.1.3 , USA , USA , USA 1.1.4 , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

PowerPoint Presentation

PowerPoint Presentation 第四章 Verilog HDL 电路设计 4.1 组合逻辑设计 e.g. 1 全加器 (1 位 )( 通过此简单例子理解思想 ) 1 由真值表门级设计 门数多,Verilog 成为纯输入工具 2 由逻辑组构设计 全加器 = 半加器 + 进位电路 稍简, 体现了对电路的理解, 位操作, 仍是门级设计思想 module full_adder(a,b,cin,sum,cout); input a,b,cin;

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

作者 : Jie Xu 日期 : E_mail: KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化,

作者 : Jie Xu 日期 : E_mail:  KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 作者 : Jie Xu 日期 : 2013-07-29 E_mail: jie.xu@beckhoff.com.cn support@beckhoff.com.cn KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 主要将模块的功能性进行了描述, 其余介绍性的内容请参阅官方 KL5121 的内容 一. 功能描述 :

More information

untitled

untitled 158 10 10 CIP /.2002 ISBN 7-302-05978-0... - -.TP303-44 CIP (2002) 078737 100084 http://www.tup.tsinghua.edu.cn 787 1092 1/16 18.75 427 2002 10 1 2002 10 1 ISBN 7-302-05978-0/TP 3563 0001 5000 26.00 1

More information

1 2 abc 3 4 (2) 5 6 7 8 9 50 p.h. 50 50 p.h. 50 10 50 P.H. 50.c.c 50 P.H. 50c.c 11 19 37 12 30 40 50 30 40 50 13 14 15 CH 3 COONa 16 17 18 MgSO 4 19 20 ; 21 1 10 2 40 50 60 70 80 3 22 23 1. 2. 3. --- 1997

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 单总线温度传感器驱动 王安然 STEP FPGA DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动 DS18B20Z 配置 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 : DS18B20Z 指令 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

内团发﹝2016﹞13号内蒙古团委脱贫攻坚部门分工方案

内团发﹝2016﹞13号内蒙古团委脱贫攻坚部门分工方案 共 青 团 内 蒙 古 自 治 区 委 员 会 文 件 内 团 发 2016 13 号 关 于 落 实 关 于 共 青 团 助 力 脱 贫 攻 坚 战 的 实 施 方 案 的 分 工 方 案 机 关 各 部 ( 室 ) 二 级 单 位, 各 盟 市 团 委, 满 洲 里 二 连 浩 特 市 团 委, 各 高 等 院 校 大 厂 矿 企 业 团 委, 自 治 区 直 属 机 关 团 工 委, 自 治

More information

Microsoft Word - em78 sub program.doc

Microsoft Word - em78 sub program.doc 一 二进制数转换为 ASCⅡ 码 将一个字节的二进制数转换为两位 16 进制数的 ASCⅡ 码 main: mov a,@0x9f ; 二进制数为 0x9f mov 0x30,a ; 二进制数存入 0x30 mov a,@0x02 mov 0x10,a ;0x10 中存放转换次数 mov a,@0x31 mov 0x04,a ;0x04 中为转换后数据存放地址 mov a,0x30 B1: ; 取

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

Microsoft PowerPoint - 第9讲-08.ppt [兼容模式]

Microsoft PowerPoint - 第9讲-08.ppt [兼容模式] 计算机设计与实践 第九讲 移动计算研究中心张展 1 本讲内容 微处理器设计实例 2 实验目的 根据计算机组成原理课程所学的知识和本课程所讲的设计思想, 设计一个给定指令系统的处理器, 包括 VHDL 语言的实现 FPGA 芯片的编程实现 对处理器的结构和计算机系统的整体工作过程有深刻的了解 为以后应用和设计处理器系统打下基础 3 实验要求 给出处理器的设计方案 用 VHDL 语言实现处理器的设计 将设计下载到

More information

Microsoft Word - 13.Managment of Common Symptoms Signs of Diseases.doc

Microsoft Word - 13.Managment of Common Symptoms Signs of Diseases.doc 这 一 堂 课 我 们 来 讲 常 见 疾 病 的 认 识 他 的 现 象 跟 处 理 怎 么 办 呢 我 们 先 来 讲 头 痛 的 问 题 很 多 人 都 有 头 痛, 可 能 没 有 睡 好 会 头 痛 生 气 的 时 候 会 头 痛 发 脾 气 突 然 间 头 撞 到 桌 子 也 会 头 痛 头 痛 有 很 多 种 我 们 来 讲 临 床 上 可 能 常 见 的 原 因 我 们 有 偏 头

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

02__SDRC_lite_spec_beta2.1_inlization__ver1.2_ doc

02__SDRC_lite_spec_beta2.1_inlization__ver1.2_ doc SDR SDRAM 的上电初始化原理与控制电路的设计实现 1. SDR SDRAM 的上电初始化原理 SDR SDRAM 必须要用规定的方式进行上电与初始化, 以保证器件正常工作 同时, 对模式寄存器的设置也发生在初始化期间 1.1 Jedec 21-C 标准与 Intel PC100 标准的规定早期的 SDRAM 当代的韩系 日系 台系 SDRAM 都符合这里的描述 为保证兼容性, 本次设计采用这个传统初始化标准,

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

姓名

姓名 红外线遥控系统 设计 至芯科技教研部 李昭 2017-6-20 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

おおさか経済の動き pwd

おおさか経済の動き pwd http://www.pref.osaka.jp/aid/sangyou/index.html 100 90 80 70 1 2 3 4 5 6 7 8 9 101112 1 2 3 4 5 6 7 8 9 101112 1 2 3 4 5 6 7 8 9 101112 H22 H23 H24-5 -10-15 5 0 10 1 2 3 4 5 6 7 8 9 101112 1 2 3 4 5 6

More information

廁所維護保養手冊

廁所維護保養手冊 公 廁 管 理 與 清 潔 維 護 講 義 台 灣 衛 浴 文 化 協 會 台 北 市 大 安 區 基 隆 路 四 段 43 號 建 築 系 電 話 :2737-6244 傳 真 :2737-6721 吳 明 修 台 灣 衛 浴 文 化 協 會 創 會 理 事 長 鄭 政 利 台 灣 衛 浴 文 化 協 會 名 譽 理 事 長 沈 英 標 台 灣 衛 浴 文 化 協 會 現 任 理 事 長 何 昆

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

全国主要流域重点断面水质自动监测周报

全国主要流域重点断面水质自动监测周报 全 国 主 要 流 域 重 点 断 面 水 质 自 动 监 测 周 报 2016 年 第 16 期 中 国 环 境 监 测 总 站 2016 年 04 月 20 日 2016 年 第 16 周 (04 月 11 日 ~04 月 17 日 ), 全 国 主 要 水 系 148 个 水 质 自 动 监 测 断 面 中, 共 监 测 了 143 个, 其 中 Ⅰ 类 水 质 断 面 为 17 个, 占 12%;Ⅱ

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

Microsoft Word - 项目简本.doc

Microsoft Word - 项目简本.doc 苏 州 民 生 皮 肤 病 医 院 建 设 项 目 环 境 影 响 报 告 书 ( 公 示 简 本 ) 建 设 单 位 : 苏 州 民 生 皮 肤 病 医 院 有 限 公 司 二 O 一 五 年 十 二 月 苏 州 民 生 皮 肤 病 医 院 建 设 项 目 环 境 影 响 报 告 书 公 示 简 本 目 录 1. 项 目 概 况...1 1.1 评 价 项 目 由 来...1 1.2 项 目 的

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

Microsoft PowerPoint - 第01章 基础知识.pptx

Microsoft PowerPoint - 第01章 基础知识.pptx 微处理器与微计算机系统 教材 : 单片机原理与应用及 C51 程序设计 ( 第 3 版 ) 清华大学出版社 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@mail.xhu.edu.cn 西华大学电气与电子信息学院 第 1 章计算机基础知识 主要内容 : 1 有符号数的表示 2 微型计算机工作原理 3 单片机的概念及特点 A Historical Background

More information

  K-845 开关量输入输出卡技术说明书

  K-845 开关量输入输出卡技术说明书 K-845 光隔开关量输入输出接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

第1章 概论

第1章 概论 计算机组成 原理蒋本珊 学习指南 本课程的性质 是计算机科学与技术专业本科生的核心课程之一, 是必修的专业基础课 学习指南 本课程的地位 本课程在计算机学科中处于承上启下的地位, 要求先修的课程有 : 计算机科学导论数字电路 学习指南 本课程的任务 讨论单机系统范围内计算机各部件和系统的组成以及内部工作机制 通过学习, 掌握计算机各大部件的组成原理 逻辑实现 设计方法及其互连构成整机系统的技术, 并为后继课程的学习打好基础

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

諮 詢 / 吳 明 賢 ( 台 大 醫 院 健 康 管 理 中 心 主 任 台 大 醫 學 院 內 科 教 授 ) 撰 稿 / 伍 蓉 症 狀 多 樣 且 擾 人 胃 及 食 道 位 置 圓 胃 食 道 逆 流 分 典 型 症 狀 及 非 典 型 症 狀 典 型 症 狀 為 胃 酸 逆 流 胸 骨

諮 詢 / 吳 明 賢 ( 台 大 醫 院 健 康 管 理 中 心 主 任 台 大 醫 學 院 內 科 教 授 ) 撰 稿 / 伍 蓉 症 狀 多 樣 且 擾 人 胃 及 食 道 位 置 圓 胃 食 道 逆 流 分 典 型 症 狀 及 非 典 型 症 狀 典 型 症 狀 為 胃 酸 逆 流 胸 骨 E 主 監 火 燒 心 胃 食 道 逆 流 要 緊 嗎? 胃 食 道 逆 流 症 是 常 見 的 消 化 道 疾 病, 但 發 病 初 期 常 被 忽 略, 沒 有 適 當 治 療 而 慢 慢 衍 生 出 嚴 重 的 併 發 症, 專 家 告 訴 您 有 胃 食 道 逆 流 到 底 該 怎 麼 辦? 才 能 避 兔 繼 續 惡 化 I 玉 是 科 技 業 的 新 貴, 雖 然 口 袋 麥 克 /1\

More information

学院信息第八期

学院信息第八期 石 景 山 区 业 余 大 学 暨 石 景 山 社 区 学 院 学 院 信 息 半 月 刊 每 月 15 日 30 日 下 发 2013 年 第 四 期 ( 总 第 145 期 ) 2013 年 4 月 15 日 本 期 要 目 学 习 型 组 织 建 设 1 业 余 大 学 召 开 党 总 支 2013 年 工 作 计 划 布 置 会 学 习 党 章 新 内 容 用 行 动 为 党 旗 增 光 添

More information

00__SDRC_lite_spec_beta2.1_main__ver0.1_ doc

00__SDRC_lite_spec_beta2.1_main__ver0.1_ doc 面向 H.264 视频编码和 SDR SDRAM 的存储控制后端设计 1. 系统概述这份设计概要描述的是底层 SDR SDRAM 控制器, 它相当于存储控制器的后端 在连接 H.264 视频编码系统前, 还需要连接存储控制器的前端 存储控制器的前端, 主要包括 : 地址映射 请求仲裁 数据 FIFO 和指令 FIFO, 是一个跨时钟域的单元 存储控制器的后端, 主要控制 SDRAM 的刷新与读写操作,

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

营养与健康

营养与健康 营 养 与 健 康 各 位 至 乐 的 领 导 父 老 乡 亲 们 朋 友 们 : 大 家 早 上 好! 非 常 荣 幸 能 到 这 里 来 分 享 有 关 一 些 健 康 的 课 题 今 天 我 想 用 最 浅 显 的 语 言 来 探 讨 一 下 如 何 让 我 们 健 康 希 望 各 位 能 从 中 得 到 一 些 益 处 健 康 这 个 问 题 比 较 复 杂, 有 许 多 不 同 的 理 念,

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

2009三季报全文.doc

2009三季报全文.doc 2009 2009 1 1.1 1.2 1.3 1.4 ( ) 2 2.1 2009.9.30 2008.12.31 7,978,018,377.78 7,216,118,395.59 10.56% 3,842,683,824.14 3,575,875,701.76 7.46% 705,227,650.00 705,227,650.00 0.00% / 5.45 5.07 7.50% 2009 7-9

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

K-847 继电器输出及隔离数字输入接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 室 邮政编码 : 电话 : 传真 :

K-847 继电器输出及隔离数字输入接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 室 邮政编码 : 电话 : 传真 : K-847 继电器输出及隔离数字输入接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech

More information

计组复习提纲

计组复习提纲 101-104 著名计算机 远古 :ABC, ENIAC, EDVAC, EDSAC, UNIVAC 大型 :IBM S/360 超级 :CDC6600 小型 :PDP-8 微型 :Altair 8800, Apple II, IBM PC 5150 三个约翰 : 冯诺依曼, 莫克利, 埃克特 关于 EDVAC 的报告草案 开关连线 存储程序 十进制 二进制 CA CC M I O 冯诺依曼结构的要点

More information

三 药 品 的 仓 (1) 影 响 药 品 储 存 质 量 的 因 素 ( 环 境 人 为 熟 练 储 与 保 及 药 物 本 身 因 素 ) 管 4. 药 品 的 储 存 与 养 护 (2) 药 品 的 储 存 : 分 区 分 类 规 划 货 位 货 熟 练 位 编 号 堆 垛 (3) 药 品 的

三 药 品 的 仓 (1) 影 响 药 品 储 存 质 量 的 因 素 ( 环 境 人 为 熟 练 储 与 保 及 药 物 本 身 因 素 ) 管 4. 药 品 的 储 存 与 养 护 (2) 药 品 的 储 存 : 分 区 分 类 规 划 货 位 货 熟 练 位 编 号 堆 垛 (3) 药 品 的 201 药 学 初 级 ( 师 ) 考 试 大 纲 专 业 实 践 能 力 岗 位 技 能 单 元 细 目 要 点 要 点 二 临 床 用 药 的 配 制 三 药 品 的 仓 1. 处 方 的 意 义 和 结 构 2. 处 方 规 则 和 处 方 缩 写 词 3. 处 方 调 配 4. 处 方 差 错 的 防 范 一 药 与 处 理 品 调 剂 5. 调 剂 室 工 作 制 度 6. 调 剂 室 的

More information

~50 50~25 ~ ~ 25~15 ~ ~ 15 ~ ~ ~

~50 50~25 ~ ~ 25~15 ~ ~ 15 ~ ~ ~ 1. 2. 3. 4. 5. 6. 1-107- 100 100~50 50~25 ~ ~ 25~15 ~ ~ 15 ~ ~ 1. 1 2 3 4 2. 1 2 3 4 18~40 1. 50 25 2. -108- 1 25 25 2 25 25 3 1 2 1 5 10 2 200 200 3 3 4 1 30 2 3 3 4 200 / 4 1 1 2 40 2 3. 1 2 3 4-109-

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

1 9 15 25 34 42 49 58 64 70 76 84 92 101 110 118 127 136 3 143 149 155 160 165 2005 12 12 200 2000 2000 12 1 1 250 250 2 300 3 15 15 2003 15 4 10 2003, 15,, ( ) 30~60, ( ),, 1, 5 5 2004 3 15 4 250 6 2005

More information