PowerPoint 演示文稿

Size: px
Start display at page:

Download "PowerPoint 演示文稿"

Transcription

1 环境光传感器驱动 王安然 STEP FPGA

2 BH1750

3 BH1750 引脚介绍

4 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 ,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作

5 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线 - 一条数据线 (SDA) 一条时钟线 (SCL) 均为双向开漏需加上拉电阻 - 每个连接入总线的从属设备均有唯一的 7 位 /10 位设备地址 - 主机控制通讯的时钟信号 Vdd SCL SDA I2C Mster I2C Slve Rp I2C Slve I2C Slve

6 I2C 总线从机 I2C 从机接口无法操作时钟线 I2C Slve Device 根据通信流程发送 ACK 信号 对 I2C 从机进行操作实际是对其内部寄存器读取和写入的过程 从机根据其寄存器数据变化而执行对应操作 Slve 设备通常都包含一个 Device ID 寄存器, 内部存储着该 I2C Slve 的设备码 ( 非设备地址 ) 这个设备码是需要向 NXP 申请并付费才能够获得 SCL SDA I2C Slve interfc e Logic Circuit Register Mp Logic Circuit ADC/Sensor/Other Interfce

7 I2C 总线 - 主机 I2C Mster Device FPGA/MCU/SOC FIFO MCU/SOC Core Bus Bus I2C Mster Controller SCL SDA I2C 主机接口操作时钟线 配置 I2C 总线的工作流程 配置 I2C 总线的地址与数据 多主机时总线忙的判定 Memory or Registers

8 I2C 总线连接 I2C Slve Device I2C Mster Device FPGA/MCU/SOC SCL SDA I2C Slve interfc e Register Mp FIFO MCU/SO C Core Bus I2C Mster Controlle r SCL SDA Logic Circuit Bus Logic Circuit Memory or Registers ADC/Sensor/Other Interfce

9 I2C 总线原理 主器件用于启动总线传送数据, 并产生时钟以开放传送的器件, 此时任何被寻址的器件均被认为是从器件 如果主机要发送数据给从器件, 则主机首先寻址从器件, 然后主动发送数据至从器件, 最后由主机终止数据传送 ; Vdd SCL SDA Rp 如果主机要接收从器件的数据, 首先由主器件寻址从器件. 然后主机接收从器件发送的数据, 最后由主机终止接收过程 I2C Mster I2C Slve I2C Slve I2C Slve

10 I2C 总线字节格式 发送到 SDA 线上的每个字节必须为 8 位, 每次传输可以发送的字节数量不受限制 每个字节后必须跟一个响应位 首先传输的是数据的最高位 (MSB), 如果从机要完成一些其他功能后 ( 例如一个内部中断服务程序 ) 才能接收或发送下一个完整的数据字节, 可以使时钟线 SCL 保持低电平, 迫使主机进入等待状态, 当从机准备好接收下一个数据字节并释放时钟线 SCL 后数据传输继续

11 I2C 总线启动和停止 在时钟线 SCL 保持高电平期间, 数据线 SDA 上的电平被拉低 ( 即负跳变 ), 定义为 I2C 总线总线的启动信号, 它标志着一次数据传输的开始 启动信号是一种电平跳变时序信号, 而不是一个电平信号 启动信号是由主控器主动建立的, 在建立该信号之前 I2C 总线必须处于空闲状态 在时钟线 SCL 保持高电平期间, 数据线 SDA 被释放, 使得 SDA 返回高电平 ( 即正跳变 ), 称为 I2C 总线的停止信号, 它标志着一次数据传输的终止 停止信号也是一种电平跳变时序信号, 而不是一个电平信号, 停止信号也是由主控器主动建立的, 建立该信号之后,I2C 总线将返回空闲状态

12 I2C 总线应答响应 数据传输必须带响应, 相关的响应时钟脉冲由主机产生 在响应的时钟脉冲期间, 发送器释放 SDA 线 ( 上拉电阻拉高 ), 接收器必须将 SDA 线拉低, 使它在这个时钟脉冲的高电平期间保持稳定的低电平, 这种情况下是应答, 如果在这个时钟脉冲的高电平期间 SDA 线没有被拉低则表示没有应答 通常被寻址的接收器在接收到的每个字节后, 必须产生一个应答 当从机接收器不应答时, 主机产生一个停止或重复起始条件

13 I2C 总线通信速率 常见的 I²C 总线依传输速率的不同而有不同的模式 : 标准模式 (100 Kbit/s) 低速模式 (10 Kbit/s), 但时钟频率可被允许下降至零, 这代表可以暂停通信 而新一代的 I2C 总线可以和更多的节点 ( 支持 10 比特长度的地址空间 ) 以更快的速率通信 : 快速模式 (400 Kbit/s) 高速模式 (3.4 Mbit/s)

14 常见写时序 假设主机向从机写命令, 所需要经过的流程如下 : (1) 主机发送起始信号 (2) 主机访问设备地址 + 写信号 (3) 从机应答 (4) 主机发送指令数据 (5) 从机应答 (6) 主机发送停止信号 假设主机向从机发送数据, 所需要经过的流程如下 : (1) 主机发送起始信号 (2) 主机访问设备地址 + 写信号 (3) 从机应答 (4) 主机发送寄存器地址 (5) 从机应答 (6) 主机发送寄存器数据 (7) 从机应答 (8) 主机发送停止信号

15 常见读数据时序 假设主机读取从机寄存器的数据, 所需要经过的流程如下 : (1) 主机发送起始信号 (2) 主机访问设备地址 + 写信号 (3) 从机应答 (4) 主机发送寄存器地址 (5) 从机应答 (6) 主机再次发送起始信号 (7) 主机访问设备地址 + 读信号 (8) 从机应答 (9) 主机读取数据 (10) 若主机只读取 1 次, 则发送 NACK 信号, 跳转至 (11), 若连续读取, 则发送 ACK 信号并跳转至 (9) 继续读取 (11) 主机发送停止信号, 停止通讯

16 BH1750 通信速率 支持高速模式 400KHz 兼容正常模式 100KHz

17 I2C 设计时钟 SDA SCL // 使用计数器分频产生 400KHz 时钟信号 clk_400khz reg clk_400khz; reg [9:0] cnt_400khz; clk or negedge rst_n) begin if(!rst_n) begin cnt_400khz <= 10'd0; clk_400khz <= 1'b0; end else if(cnt_400khz >= CNT_NUM-1) begin cnt_400khz <= 10'd0; clk_400khz <= ~clk_400khz; end else begin cnt_400khz <= cnt_400khz + 1'b1; end end

18 I2C 状态机分析 I2C 时序可以分解成基本单元 ( 启动 停止 发送 接收 发应答 读应答 ), 整个 I2C 通信都是由这些单元按照不同的顺序组合, 我们设计一个状态机, 将这些基本单元做成状态, 控制状态机的跳转就能实现 I2C 通信时序 主机每次发送数据都要接收判断从机的响应, 每次接收数据也要向从机发送响应, 所以发送单元和读应答单元可以合并, 接收单元和写应答单元可以合并 启动状态 发送状态 接收状态 停止状态

19 启动和停止状态实现 START:begin //I2C 通信时序中的起始 START if(cnt_strt >= 3'd5) cnt_strt <= 1'b0; // 对 START 中的子状态执行控制 cnt_strt else cnt_strt <= cnt_strt + 1'b1; cse(cnt_strt) 3'd0: begin sd <= 1'b1; scl <= 1'b1; end // 将 SCL 和 SDA 拉高, 保持 4.7us 以上 3'd1: begin sd <= 1'b1; scl <= 1'b1; end // 每个周期 2.5us, 需要两个周期 3'd2: begin sd <= 1'b0; end //SDA 拉低到 SCL 拉低, 保持 4.0us 以上 3'd3: begin sd <= 1'b0; end //clk_400khz 每个周期 2.5us, 需要两个周期 3'd4: begin scl <= 1'b0; end //SCL 拉低, 保持 4.7us 以上 3'd5: begin scl <= 1'b0; stte <= stte_bck; end // 每个周期 2.5us, 两个周期 defult: stte <= IDLE; // 如果程序失控, 进入 IDLE 自复位状态 endcse end STOP:begin //I2C 通信时序中的结束 STOP if(cnt_stop >= 3'd5) cnt_stop <= 1'b0; // 对 STOP 中的子状态执行控制 cnt_stop else cnt_stop <= cnt_stop + 1'b1; cse(cnt_stop) 3'd0: begin sd <= 1'b0; end //SDA 拉低, 准备 STOP 3'd1: begin sd <= 1'b0; end //SDA 拉低, 准备 STOP 3'd2: begin scl <= 1'b1; end //SCL 提前 SDA 拉高 4.0us 3'd3: begin scl <= 1'b1; end //SCL 提前 SDA 拉高 4.0us 3'd4: begin sd <= 1'b1; end //SDA 拉高 3'd5: begin sd <= 1'b1; stte <= stte_bck; end // 完成 STOP 操作 defult: stte <= IDLE; // 如果程序失控, 进入 IDLE 自复位状态 endcse end

20 写数据状态实现 WRITE:begin //I2C 通信时序中的写操作 WRITE 和相应判断操作 ACK if(cnt <= 3'd6) begin // 共需要发送 8bit 的数据, 这里控制循环的次数 if(cnt_write >= 3'd3) begin cnt_write <= 1'b0; cnt <= cnt + 1'b1; end else begin cnt_write <= cnt_write + 1'b1; cnt <= cnt; end end else begin if(cnt_write >= 3'd7) begin cnt_write <= 1'b0; cnt <= 1'b0; end // 复位变量 else begin cnt_write <= cnt_write + 1'b1; cnt <= cnt; end end cse(cnt_write) // 按照 I2C 的时序传输数据 3'd0: begin scl <= 1'b0; sd <= dt_wr[7-cnt]; end //SCL 拉低,SDA 输出 3'd1: begin scl <= 1'b1; end //SCL 拉高, 保持 4.0us 以上 3'd2: begin scl <= 1'b1; end //clk_400khz 每个周期 2.5us, 需要两个周期 3'd3: begin scl <= 1'b0; end //SCL 拉低, 准备发送下 1bit 的数据 // 获取从设备的响应信号并判断 3'd4: begin sd <= 1'bz; end // 释放 SDA 线, 准备接收从设备的响应信号 3'd5: begin scl <= 1'b1; end //SCL 拉高, 保持 4.0us 以上 3'd6: begin ck_flg <= i2c_sd; end // 获取从设备的响应信号 3'd7: begin scl <= 1'b0; if(ck_flg)stte <= stte; else stte <= stte_bck; end //SCL 拉低, 如果不应答循环写 defult: stte <= IDLE; // 如果程序失控, 进入 IDLE 自复位状态 endcse end

21 读数据状态实现 READ:begin //I2C 通信时序中的读操作 READ 和返回 ACK 的操作 if(cnt <= 3'd6) begin // 共需要接收 8bit 的数据, 这里控制循环的次数 if(cnt_red >= 3'd3) begin cnt_red <= 1'b0; cnt <= cnt + 1'b1; end else begin cnt_red <= cnt_red + 1'b1; cnt <= cnt; end end else begin if(cnt_red >= 3'd7) begin cnt_red <= 1'b0; cnt <= 1'b0; end // 复位变量值 else begin cnt_red <= cnt_red + 1'b1; cnt <= cnt; end end cse(cnt_red) // 按照 I2C 的时序接收数据 3'd0: begin scl <= 1'b0; sd <= 1'bz; end //SCL 拉低, 释放 SDA 线 3'd1: begin scl <= 1'b1; end //SCL 拉高, 保持 4.0us 以上 3'd2: begin dt_r[7-cnt] <= i2c_sd; end // 读取从设备返回的数据 3'd3: begin scl <= 1'b0; end //SCL 拉低, 准备接收下 1bit 的数据 // 向从设备发送响应信号 3'd4: begin sd <= ck; end // 发送响应信号, 将前面接收的数据锁存 3'd5: begin scl <= 1'b1; end //SCL 拉高, 保持 4.0us 以上 3'd6: begin scl <= 1'b1; end //SCL 拉高, 保持 4.0us 以上 3'd7: begin scl <= 1'b0; stte <= stte_bck; end //SCL 拉低 defult: stte <= IDLE; // 如果程序失控, 进入 IDLE 自复位状态 endcse end

22 BH1750 异步复位

23 BH1750 工作流程

24 BH1750 指令

25 BH1750 写指令时序 MODE1:begin // 单次写操作 if(cnt_mode1 >= 4'd4) cnt_mode1 <= 1'b0; // 对 START 中的子状态执行控制 cnt_strt else cnt_mode1 <= cnt_mode1 + 1'b1; stte_bck <= MODE1; cse(cnt_mode1) 4'd0: begin stte <= START; end //I2C 通信时序中的 START 4'd1: begin dt_wr <= dev_ddr<<1; stte <= WRITE; end // 设备地址 4'd2: begin dt_wr <= cmd_dt; stte <= WRITE; end // 寄存器地址 4'd3: begin stte <= STOP; end //I2C 通信时序中的 STOP 4'd4: begin stte <= MAIN; end // 返回 MAIN defult: stte <= IDLE; // 如果程序失控, 进入 IDLE 自复位状态 endcse end

26 BH1750 读数据时序 MODE2:begin // 两次读操作 if(cnt_mode2 >= 4'd7) cnt_mode2 <= 4'd0; // 对 START 中的子状态执行控制 cnt_strt else cnt_mode2 <= cnt_mode2 + 1'b1; stte_bck <= MODE2; cse(cnt_mode2) 4'd0: begin stte <= START; end //I2C 通信时序中的 START 4'd1: begin dt_wr <= (dev_ddr<<1) 8'h01; stte <= WRITE; end // 设备地址 4'd2: begin ck <= ACK; stte <= READ; end // 读寄存器数据 4'd3: begin dt_h <= dt_r; end 4'd4: begin ck <= NACK; stte <= READ; end // 读寄存器数据 4'd5: begin dt_l <= dt_r; end 4'd6: begin stte <= STOP; end //I2C 通信时序中的 STOP 4'd7: begin stte <= MAIN; end // 返回 MAIN defult: stte <= IDLE; // 如果程序失控, 进入 IDLE 自复位状态 endcse end

27 BH1750 驱动流程

28 BH1750 驱动过程 MAIN:begin if(cnt_min >= 4'd8) cnt_min <= 4'd3; // 写完控制指令后循环读数据 else cnt_min <= cnt_min + 1'b1; cse(cnt_min) 4'd0: bh_dvi <= 1'b1; //DVI 输出拉高 4'd1: begin dev_ddr <= 7'h23; cmd_dt <= 8'h00; stte <= MODE1; end //POWER OFF 4'd2: begin dev_ddr <= 7'h23; cmd_dt <= 8'h01; stte <= MODE1; end //POWER ON 4 d3: begin dev_ddr <= 7 h23; cmd_dt <= 8 h10; stte <= MODE1; end // 测量 4'd4: begin num_dely <= 24'd72000; stte <= DELAY; end //180ms 延时 4'd5: begin dev_ddr <= 7'h23; stte <= MODE2; end // 读取数据操作 4'd6: begin ls_code <= {dt_h,dt_l}; end // 环境光数据输出 4'd7: ls_pulse <= 1'b1; 4'd8: ls_pulse <= 1'b0; defult: stte <= IDLE; // 如果程序失控, 进入 IDLE 自复位状态 endcse end

29 除以 1.2 数据处理 reg [19:0] ls_dt1; // 光强数据编码运算除以 1.2 先乘以 5, 然后除以 6 ls_pulse or negedge rst_n) if(!rst_n) ls_dt1 <= 1'b0; else ls_dt1 <= ls_code * 4'd5; wire [3:0] remin; wire [19:0] ls_dt2; lpm_div u2 // 例化除法器 IP 核, 实现除以 6 运算 (.numer (ls_dt1 ), // 分子.denom (4'd6 ), // 分母.quotient (ls_dt2 ), // 商.remin (remin ) // 余数 );

30 BCD 转码滚动显示 wire [19:0] ls_dt3; bin_to_bcd u3 (.rst_n (rst_n ), // 系统复位, 低有效.bin_code (ls_dt2[15:0]), // 需要进行 BCD 转码的二进制数据.bcd_code (ls_dt3 ) // 转码后的 BCD 码型数据输出 ); wire [19:0] ls_dt4; ssign ls_dt4[19:16] = ls_dt3[19:16]? ls_dt3[19:16]:4'h; ssign ls_dt4[15:12] = ls_dt3[19:12]? ls_dt3[15:12]:4'h; ssign ls_dt4[11: 8] = ls_dt3[19: 8]? ls_dt3[11: 8]:4'h; ssign ls_dt4[ 7: 4] = ls_dt3[19: 4]? ls_dt3[ 7: 4]:4'h; ssign ls_dt4[ 3: 0] = ls_dt3[ 3: 0]; // // 高位为 0 不显示 // 高位为 0 不显示 // 高位为 0 不显示 // 高位为 0 不显示 dot_rry_driver u4 (.clk (clk ),.rst_n (rst_n ),.dt (ls_dt4 ),.row (row ),.col (col ) );

31 Thnks 扫描二维码 关注小脚丫微信公众号 了解更多 FPGA 知识

PowerPoint 演示文稿

PowerPoint 演示文稿 单总线温度传感器驱动 王安然 STEP FPGA DS18B20Z DS18B20 是我们日常设计中常用的一款温度传感器芯片, 只需要一根总线就可以实现通信, 非常的方便, 接下来一起学习 DS18B20 的驱动 DS18B20Z 配置 DS18B20Z 连接 Dot Matrix 板子上的温度传感器硬件连接如下 : DS18B20Z 指令 DS18B20Z 驱动流程 接下来简要介绍如何驱动 ( 更加详细的信息需要大家参考数据手册

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编 目录 1 CSI24WC0 1/02/04/08/16.2-10 2 CSI24WC32/64...11-18 3 CSI24WC128....19-26 4 CSI24WC256....27-34 1 CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS

More information

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体型号是 MLX90614ESF-BCC, 带有温度梯度补偿 其金属封装里同时集成了红外感应热电堆探测器芯片和信号处理专用集成芯片 由于集成了低噪声放大器 17 位模数转换器和强大的数字信号处理单元,

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500034-Z-11 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 使用 GPIO 实现 I 2 C SW 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 2009-02-04 Folix 初稿 1.1 2009-03-24 Folix 修改格式 本手册包含 25 页 1. 本文档记载的产品信息及规格说明如有变动,

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

Microsoft Word - I2C总线概要.doc

Microsoft Word - I2C总线概要.doc APPLICATION NOTE date:2006-11-08 文件信息类别关键词摘要 I 2 C 总线 概要 内容 现在, 已经有越来越多的外围器件与微控制器的接口形式是二线制的 I 2 C 串行总线, 学会其基本操作已经成为广大电子电气工程师和爱好者的迫切要求 鉴于 I 2 C 总线协议原文内容十分详尽, 对于总线协议的初学者可能不易把握, 本文特意非常简要地介绍了 I 2 C 总线的概念 优点和基础协议,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

姓名

姓名 红外线遥控系统 设计 至芯科技教研部 李昭 2017-6-20 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

Microsoft Word - AN3291_Chinese.doc

Microsoft Word - AN3291_Chinese.doc 飞思卡尔半导体 文件编号 :AN3291 应用笔记第 1 版,03/2007 如何在 M68HC08 HCS08 和 HCS12 微控制器上应用 IIC 模块 作者 : Stanislav Arendarik 应用工程师捷克共和国, 罗斯诺夫 1 简介 此应用笔记是如何在飞思卡尔的微控制器上应用 IIC 模块的一个示例 IIC 模块可以分别在主模式或从模式下使用 在这种情况下, 由于 IIC 总线主要用于在微控制器

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Microsoft Word - HYM1307_CN.doc

Microsoft Word - HYM1307_CN.doc 带 56 字节 RAM 的 I 2 C 串行实时时钟芯片 特点 基于 32.768 khz 的石英晶体, 可对秒, 分, 时, 日, 月, 周以及带闰年补偿的年进行计数 带备用电池的 56 字节非易失性 RAM I 2 C 串行总线接口 可编程方波输出 自动掉电检测及电源切换电路 电池供电下, 振荡器工作时的消耗小于 500nA 可选的工业温度范围 :-40 至 +85 封装形式 :DIP8 和 SOP8

More information

姓名

姓名 flash 控制 设计 至芯科技教研部 李昭 2017-7-10 联系 QQ:984530288 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

Microsoft Word - 基于NiosII的SOPC中EEPROM Controller Core的设计.doc

Microsoft Word - 基于NiosII的SOPC中EEPROM Controller Core的设计.doc 基于 NiosII 的 SOPC 中 EEPROM Controller Core 的设计 1 2 麦胤, 柳沁 (1. 重庆邮电大学光电工程学院,2. 重庆邮电大学自动化学院, 重庆 400065) 摘要 : 介绍了如何在基于 NiosII 的 SOPC 中设计 EEPROM 的 Controller Core, 用 Verilog HDL 实现其硬件部分, 编写了相关驱动程序和应用层软件, 构建了基于

More information

DS28CM00 data sheet

DS28CM00 data sheet DS28CM00 I 2 C/SMBus 硅序列号 www.maxim-ic.com.cn 说明 DS28CM00 以极低成本提供一个电子注册号, 具有唯一的识别码, 可通过工业标准的 I 2 C 和 SMBus 总线接口访问 注册号是由工厂光刻的 64 位 ROM 码, 其中包括 48 位序列号 8 位 CRC 和 8 位家族吗 (70h) 在 SMBus 模式下,DS28CM00 在检测到总线故障时复位总线

More information

Microsoft Word - GY7501A_USB-I2C_datasheet.doc

Microsoft Word - GY7501A_USB-I2C_datasheet.doc GY7501A/GY7512 USB-I2C Adapter 产品使用说明书 产品型号 :GY7501A/GY7512 USB-I2C Adapter 手册版本 :V2.00 更新升级 :2007-11-11 1 目 录 目录...2 一 产品简介...3 1.1 性能与技术指标...3 1.2 典型应用...3 1.3 通信协议转换...3 1.4 产品销售清单...3 1.5 技术支持与服务...3

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Pan-Tilt HAT 用户手册 Pan-Tilt HAT 用户手册 产品概述本产品是基于树莓派的云台扩展板, 板载 PCA9685 芯片, 输出 PWM 控制云台转动 板载 TSL2581 环境光传感器, 通过检测光强辅助摄像头工作 通过 I2C 接口控制, 无需占用额外的引脚 提供完善的配套树

Pan-Tilt HAT 用户手册 Pan-Tilt HAT 用户手册 产品概述本产品是基于树莓派的云台扩展板, 板载 PCA9685 芯片, 输出 PWM 控制云台转动 板载 TSL2581 环境光传感器, 通过检测光强辅助摄像头工作 通过 I2C 接口控制, 无需占用额外的引脚 提供完善的配套树 Pan-Tilt HAT 用户手册 产品概述本产品是基于树莓派的云台扩展板, 板载 PCA9685 芯片, 输出 PWM 控制云台转动 板载 TSL2581 环境光传感器, 通过检测光强辅助摄像头工作 通过 I2C 接口控制, 无需占用额外的引脚 提供完善的配套树莓派例程 (BCM2835 库,WiringPi 库, 以及 python 例程 ) 产品参数 工作电压 : 3.3V/5V 控制芯片 PCA9685

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!# $% & $%%% ( )*+,-./00-(11.-. $%! $  # $ % & ( - ) +%23!# $%%% %,.%,! $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! )*+,-./00-(11 !"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% 4 3301 3 & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11.-. & " 2./ $. %% !" #!!"""!"!"!"!" "!!#!#!#!# "!###!!$

More information

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

!! !! ! !! ! ! !!#$% & ()*+, -./!000$ 1-2$##0! 3 ! !! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3 !" #" $%& " (" ) ( !!" #" #$$$! #$$%!# & !" #" $" % !!" #" $" %"! &! &!! &! &! !" #$% #$% &" " (" )" * !!!!!!!!!!!! "!!"!! "!! " # " # " # $ "%

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

1986 1 20 (1) (4) (6) (9) (17) (22) (23) (27) (33) (34) (35) (35) (96) (36) (37) (38) (39) (39) (40) (40) (41) (42) (43) (44) (44) (45) (45) (46) ( ) (50) ( ) (51) ( ) (52) (53) (55) (56) (59) (62) (67)

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits 概述 高精度 低功耗 MAX1937 I 2 C 接口实时时钟 / 日历 是一款低功耗 CMOS 实时时钟 / 日历芯片, 它提供一个可编程的时钟输出, 一个中断输出和一个掉电检测器, 所有的地址和数据都通过 I2C 总线接口串行传递 最大总线速度为 400Kbits/s, 每次读写数据后, 内嵌的字地址寄存器会自动递增 特性 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天,

More information

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits I 2 C 实时时钟 H YM1302 / 日历芯片钟 特点 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天, 月和年 带有世纪标志 宽工作电压范围 :2.0~5.5V 低休眠电流 : 典型值为 0.25μA(V DD =3.0V, T A =25 ) I 2 C 总线从地址 : 读,0A3H; 写,0A2H 应用 便携仪器 移动电话 门禁 可编程时钟输出频率为 :32.768kHz,1024Hz,

More information

(Microsoft Word - 1000111\256\325\260\310\267|\304\263\260O\277\375_\252k\250\356_.doc)

(Microsoft Word - 1000111\256\325\260\310\267|\304\263\260O\277\375_\252k\250\356_.doc) 臺 北 市 立 信 義 國 民 中 學 99 學 年 度 第 2 次 校 務 會 議 紀 錄 壹 時 間 : 中 華 民 國 100 年 1 月 11 日 ( 星 期 二 ) 下 午 13:30 貳 地 點 : 本 校 第 二 會 議 室 參 出 席 列 席 單 位 及 人 員 : 如 簽 到 ( 出 席 29 人 ) 肆 主 席 : 王 校 長 天 才 紀 錄 : 史 一 民 伍 主 席 報 告

More information

Microsoft Word - 桂电教[2008]8号.doc

Microsoft Word - 桂电教[2008]8号.doc 桂 林 电 子 科 技 大 学 文 件 桂 电 教 2008 8 号 为 规 范 和 加 强 考 试 管 理, 促 进 学 风 和 教 风 建 设, 营 造 公 平 和 谐 的 学 习 和 竞 争 环 境, 特 制 定 本 规 定 一 命 题 及 试 卷 管 理 ( 一 ) 考 试 命 题 考 试 命 题 要 严 格 以 教 学 大 纲 为 依 据, 在 规 定 的 范 围 内 命 题, 应 该 对

More information

教育心理学教学案例分析

教育心理学教学案例分析 教 育 心 理 学 教 学 案 例 分 析 [ 案 例 1] 如 果 你 遇 到 这 样 的 情 况, 你 会 给 出 什 么 样 的 建 议 呢? 小 平 是 一 个 酷 爱 流 行 音 乐 的 高 中 生, 期 中 考 试 前 的 两 个 星 期 才 开 始 学 习, 一 边 学 习 一 边 听 MP3, 美 其 名 日 自 我 放 松 她 暗 自 发 誓 要 考 出 好 成 绩, 至 于 好

More information

nb.PDF

nb.PDF 2001 2002 1363 16489 1376 MP3 150 19420 400 2002 3 8 30-40 2.43%-3.23% 13-21 4.62%-7.46% 8-11 8.82%-12.13% 6-12 8.08%-16.17% 5-8 12.13%-19.4% 2001-309,195.32-309,195.32 2,031,363.08 2,031,363.08-351,083.75-351,083.75-117,027.92-117,027.92-1,989,474.65-1,989,474.65-309,195.32-309,195.32

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

Microsoft Word - IRFWX-A078_A_SM59R08A2_SM59R16A2_IIC APN_SC_.doc

Microsoft Word - IRFWX-A078_A_SM59R08A2_SM59R16A2_IIC APN_SC_.doc 1 适用产品 :SM59R16A2 / SM59R08A2 2 IIC 使用概述 : 2.1 IIC module 硬件使用 SCL (clock) 及 SDA (data) 两条线 2.2 速度 :SCL 最高可达 400Kbps( 可由软件设定 SFR IICBR[2:0]) 2.3 IIC 可选择 master 或 slave 两种模式 2.4 提供中断 (RXIF, TXIF) 及两组控制地址使用

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM FPGA IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 SMART, Shutdown, FLUSH!! Linux Gen3 PCIe SSD 2ch RAID 2ch RAID

More information

02__SDRC_lite_spec_beta2.1_inlization__ver1.2_ doc

02__SDRC_lite_spec_beta2.1_inlization__ver1.2_ doc SDR SDRAM 的上电初始化原理与控制电路的设计实现 1. SDR SDRAM 的上电初始化原理 SDR SDRAM 必须要用规定的方式进行上电与初始化, 以保证器件正常工作 同时, 对模式寄存器的设置也发生在初始化期间 1.1 Jedec 21-C 标准与 Intel PC100 标准的规定早期的 SDRAM 当代的韩系 日系 台系 SDRAM 都符合这里的描述 为保证兼容性, 本次设计采用这个传统初始化标准,

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

第一章.FIT)

第一章.FIT) 第 一 章 美 丽 触 手 可 及 一 些 天 生 好 动 的 懒 人 袁 根 本 静 不 下 心 去 美 容 院 做 护 理 袁 通 常 总 是 用 一 些 最 野 懒 冶 的 方 法 来 保 养 自 己 遥 比 如 下 飞 机 以 后 感 觉 头 发 很 乱 袁 就 用 手 当 梳 子 随 手 梳 两 下 曰 脸 上 很 干 袁 就 往 脸 上 涂 些 酸 奶 尧 牛 奶 或 者 蜂 蜜 噎 噎

More information

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析 最 有 利 標 作 業 程 序 實 務 分 析 交 通 部 採 購 稽 核 小 組 陳 秘 書 牧 民 日 期 :101 年 05 月 21 日 大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

Microsoft Word - I2C×ÜÏ߹淶.doc

Microsoft Word - I2C×ÜÏ߹淶.doc I 2 C 总线规范 目录 1 序言... 3 1.1 版本 1.0-1992... 3 1.2 版本 2.0-1998... 3 1.3 版本 2.1-2000... 3 1.4 购买 Philips 的 I 2 C 总线元件... 3 2 I 2 C 总线使设计人员和厂商都得益... 3 2.1 设计人员的得益... 4 2.2 厂商的得益... 5 3 介绍 I 2 C 总线规范... 6 4

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生 共 青 团 工 作 简 报 2011 年 第 1 期 共 青 团 大 连 海 洋 大 学 委 员 会 团 学 要 闻 : 导 读 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 我 校 在 大 连 市 大 学 生 创 新 创 意 作 品 大 赛 中 取 得 佳 绩 校 团 委 召 开 学 生 干 部 思 想 动 态 座 谈 会 校 团 委 组 织 开 展 弘 扬 雷 锋

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

关于建立境内违法互联网站黑名单管理制度的通知

关于建立境内违法互联网站黑名单管理制度的通知 关 于 建 立 境 内 违 法 互 联 网 站 黑 名 单 管 理 制 度 的 通 知 各 省 自 治 区 直 辖 市 和 计 划 单 列 市 通 信 管 理 局 新 闻 办 教 育 厅 ( 教 委 ) 公 安 厅 ( 局 ) 国 家 安 全 厅 ( 局 ) 文 化 厅 ( 局 ) 卫 生 厅 ( 局 ) 工 商 行 政 管 理 局 广 播 影 视 局 新 闻 出 版 局 食 品 药 品 监 督 管

More information

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63>

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63> 国 民 经 济 和 社 会 发 展 第 十 个 五 年 计 划 信 息 化 发 展 重 点 专 项 规 划 前 言 信 息 化 是 当 今 世 界 科 技 经 济 与 社 会 发 展 的 重 要 趋 势 信 息 技 术 已 广 泛 渗 透 到 经 济 和 社 会 的 各 个 领 域, 推 动 人 类 社 会 生 产 力 达 到 一 个 崭 新 的 高 度 全 球 信 息 化 开 创 了 世 界 经

More information

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99 民 政 部 門 質 詢 第 13 組 質 詢 日 期 : 中 華 民 國 98 年 10 月 6 日 質 詢 對 象 : 民 政 部 門 有 關 各 單 位 質 詢 議 員 : 陳 嘉 銘 周 柏 雅 陳 碧 峰 李 文 英 顏 聖 冠 王 孝 維 洪 健 益 計 7 位 時 間 126 分 鐘 速 記 錄 98 年 10 月 6 日 速 記 : 何 采 穎 主 席 ( 李 議 員 慶 元 ): 現

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

STM32F05x training in Prague - DAY1

STM32F05x training in Prague - DAY1 串行外设接口 SPI 通信外设 1 SPI 特性 (1) 3 线全双工同步传输 2 线半双工 / 单工同步传输 时钟极性 相位以及数据位序 (MSB 或 LSB) 可设置 支持主设备 从设备, 以及多主模式 片选信号的软 硬件管理 硬件 CRC( 用于 8 位或 16 位长度的帧 ) 能触发中断的标志 (TxE RxNE MODF OVR CRCERR FRE) 可配置的时钟速度 f PCLK /2,

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

Microsoft Word - GY7502_USB-SPI_datasheet.doc

Microsoft Word - GY7502_USB-SPI_datasheet.doc GY7502 USB-SPI Adapter 产品使用说明书 产品型号 :GY7502 USB-SPI Adapter 手册版本 :V1.02 目 录 目录... 2 一 产品简介... 3 1.1 性能与技术指标... 3 1.2 典型应用... 3 1.3 通信协议转换... 3 1.4 产品销售清单... 3 1.5 技术支持与服务... 3 二 外形与接口描述... 4 2.1 产品外形...

More information

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

ZL1GT02 数据手册

ZL1GT02  数据手册 RJGT102 V3.03 数据手册 武汉瑞纳捷电子技术有限公司 Wuhan RunJet Electronic technology co. Ltd 特性 RJGT102-datasheet 高性能防复制加密芯片 提供看门狗定时器和对外复位功能 SHA-256 加密认证 提供用于写入用户自定义的 EEPROM 单元 遵循标准 I²C 总线协议 可锁定的 64 位用户 ID 号 2.97V~3.63V

More information