untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 USING THE DESIGN ASSISTANT PanDeng

2 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard scheme assign clk_rst_a = CS_CPU == 1'b0 & BCTL0 == 1'b1 & Addr == 18'b ;

3 clk_rst_a or negedge Reset) if (!Reset) RST_A<=1'd0; RST_A<=BD[7]; end DFF In a design, combinational logic that is used as a clock signal should follow the following guidelines: The gating logic should be a two-input gate or a two-input gate. NOT There should be only one input pin that acts as a primary input clock signal to the or gate. a b a && b The non-clock input clock enable signal to the or gate should be synchronized with a register. The register should be clocked by the same input pin that acts as the primary input clock signal to the or gate. If the combinational logic uses an gate, the clock port of the register that drives the gate should be active on the falling edge and the clock port of the register driven by the gate should be active on the rising edge. Or If the combinational logic uses an gate, the clock port of the register that drives the gate should be active on the rising edge and the clock port of the register driven by the gate should be active on the falling edge. and or and or 2. Input clock pin should fan out to only one set of combinational logic used as clock signal A design should not contain an input clock pin that fans out to more than one set of combinational logic that is used as a clock signal. To effectively save power using the combinational logic, you should make sure all the input clock pins in a design each fan out to only one set of combinational logic that is used as a clock signal. The following image shows an example of an input clock pin that fans out to more than one set of the combinational logic:

4 3. Clock signal should be a global signal 4. Clock Signal Source Should Drive Only Input Clock Ports Clock signal sources in a design should drive only input clock ports of registers. When a design contains clock signal sources that connect to ports other than clock ports, the design is considered asynchronous, which can cause problems in the design DFF CLK ByteCount8 or negedge RST) if (!RST) PrePassAll<=0; PrePassAll<=PrePassAlltemp; End ByteCount8 4'd8 : nextstate =(ByteCount8 && (RxData == 8'hd5))? 4'd9 : 4'd1; ByteCount8

5 5. Clock signal sources in a design should drive only input clock ports of registers When a design contains clock signal sources that connect to ports other than clock ports, the design is considered asynchronous, which can cause problems in the design CLK A CLKa B CLKb CLKa=CLK; CLKb=CLK; CLKa CLKb RXC_1 or negedge RST) if (!RST) RCLKa<=0; RCLKa<=count_rxa[1]; End RXC_1 or negedge RST) if (!RST) RCLKb<=0; RCLKb<=count_rxb[1]; End RCLKa RCLKb RXC_1 6. Clock Signal Source Should Not Drive Registers That are Triggered by Different Clock Edges A design should not contain nodes where a clock signal source (for example, an input clock pin) drives the design's registers in one of the following ways: The clock signal source drives registers that have clock inputs that trigger on the positive edge of the clock, and other registers that have clock inputs that trigger on the negative edge of the clock. The clock signal source drives only registers that have clock inputs that all trigger on either the positive or negative edge of the clock, but the design inverts the clock before driving some of the registers. These connections can cause various design problems, including an increase in timing requirement

6 complexity and difficulties. Also, because registers are not clocked on the same edge in the design, synchronous resetting is impossible. When a design inverts the clock, additional design problems may occur; for example, the inverted clock may be mapped to regular logic or may not contain the correct time relationship to the original clock. The registers that synchronize combinational logic that is used as a clock or reset signal are sometimes triggered by different clock edges. However, these registers do not cause problems in the design. (negedge RCLKb or negedge RXDV_1) if(!rxdv_1).. end RCLKb or posedge RXDVb) if (!RXDVb) ByteCnt_b<=0; ByteCnt_b<=ByteCnt1_b+1'd1; End RCLKb RXDV_1 RXDVb negedge posedge 7. Two or More Register Outputs in Cascade Should Not Directly Drive Clock Ports of Following Registers Q A design should not contain ripple clock structures, that is, structures where the outputs of two or more registers in a cascade each directly drives the input clock port of the following register in the cascade. Cascading registers should have only one output, or no output, that directly drives the following register's input clock port. The following image shows an example of a ripple clock structure:

7 Inverter should not be implemented in logic cell CLK LUT inverter Instead, the inverter should be implemented with the register clock's programmable invert. module temp(a,b,c,d); output a; input b; input c; input d; reg a; b) if (!d) a<=1'b0; a<=c; end endmodule

8 FDR_1 D Flip-Flop with Negative-Edge Clock and Synchronous Reset FDR_1 9. Combinational logic used as reset signal should be synchronized. a or posedge CLR) : D1 if (CLR) a <= 1'b0; a <= 1'b1; end b or posedge CLR) : D2 if (CLR) b <= 1'b0; b <= 1'b1; end assign CLR = a & b ; DFF that is, the combinational logic should drive a register before driving one or more input reset ports of other registers. An asynchronous reset can cause glitches and stability problems in a design.

9 10. External Reset Should be Synchronized Using Two Cascaded Registers DFF An external reset, which is a primary input that is used as a reset signal, should be synchronized in a design (that is, it should drive two cascaded registers before driving one or more input reset ports of other registers). In a design, an asynchronous reset can affect the recovery time of a register, cause stability problems, and reset state machines to incorrect states. The synchronized gated reset should follow the following guidelines: The external reset should be synchronized with two cascaded registers. There should be no logic between the two cascaded registers. DFF 11. Reset Signal Source Should Drive Only Input Reset Ports DFF clka or negedge RST) if (!RST).. clkb or negedge RST) if (!RST)... DFF RST always 12. External reset should be correctly synchronized In a design, the synchronization of an external reset (which is a primary input that is used as a reset signal) should follow the following guidelines:

10 The external reset should be synchronized with two cascaded registers. There should be no logic between the two cascaded registers. 13. Reset signal that is generated in one clock domain and used in other, asynchronous clock domains should be synchronized In a design, a reset signal that is generated in one clock domain and used in one or more other, asynchronous clock domains should follow the following guidelines: The reset signal should be synchronized with two or more cascading registers in the receiving asynchronous clock domain The cascading registers should be triggered on the same clock edge. There should be no logic between the output of the transmitting clock domain and the cascaded registers in the receiving asynchronous clock domain. An incorrectly synchronized reset signal may cause metastability problems in the design. 14. Reset signal that is generated in one clock domain and used in other, asynchronous clock domains should be correctly synchronized Timing Closure 15. Nodes with more than specified number of fan-outs. This rule reports the specified number of nodes with the highest fan-out, which can create timing challenges for a design. You can specify that the Design Assistant use this rule by turning on Top nodes with highest fan-out: <n> under Timing closure in the Design Assistant rules list in the Design Assistant page of the Settings dialog box (Assignments menu). You can use the High-Fanout Net Settings dialog box, which is available from the Design Assistant page, to specify the number of nodes you want the Design Assistant to report. The Design Assistant Settings section of the Report window lists the options you turn on and the settings you specify. The Design Assistant lists the nodes in the Messages window, the Design Assistant Messages section of

11 the Report window, and the Design Assistant Detailed Results section of the Report window. FPGA 16. Top nodes with highest fan-out. 17. Register output directly drives input of another register when both registers are triggered at same time If the two registers are triggered by clock edges at the same time, a hold time violation may occur. 18. Registers in direct data transfer between clock domains are triggered by clock edges at the same time In a design, the output of a register in one clock domain directly drives the input of another, synchronous register in another clock domain. If the two synchronous registers are triggered by clock edges at the same time, a hold time violation may occur. Non-synchronous design structure 19. Design should not contain delay chains A design should not contain any delay chains, which are two or more consecutive nodes with a single fan-in and a single fan-out that are used to cause delay. Delay chains often result from asynchronous design practices and can cause various design problems, including an increase in a design's sensitivity to operating conditions, a decrease in a design's reliability,

12 20. Design Should Not Contain Latches Latch A design should not contain latches, which are structures where two or gates (which the Quartus II software implements in logic cells) are cross-coupled using combinational loops that drive the output of one gate to an input of the other gate. These latches can cause glitches and ambiguous timing in a design, which makes timing analysis of the design more difficult. The Design Assistant generates this rule when it identifies one or more structures as latches but cannot determine the latch types. The latches may also be part of more sophisticated latches that the Design Assistant cannot identify. Latch glitch ambiguous 21. Design should not contain combinational loops. module temp(a,b,c); output a; input b; input c; assign a=c?b:a; endmodule a 22. Register output should not drive register's control signal directly or through combinational logic.

13 VCOCLK or posedge CLR) : D1 if (CLR) Q_VCO <= 1'b0; Q_VCO <= 1'b1; end REFCLK or posedge CLR) : D2 if (CLR) Q_REF <= 1'b0; Q_REF <= 1'b1; end assign CLR = Q_VCO & Q_REF ; DFF CLR A design should not contain any combinational loops where the output of a register directly drives one of its own control signals (for example, the register's preset signal or asynchronous load signal), or the output of the register drives combinational logic that drives one of the register's control signals. 1 2 These combinational loops can cause significant stability and reliability problems in a design. For example, because the behavior of a combinational loop often depends on the relative propagation delays of the combinational loop's logic, and because design tools experience difficulties when handling combinational loops, the combinational loop after fitting may not function as it was originally intended to function in the design. The following image shows an example of a combinational loop where the output of a register directly drives one of its own control signals: The following image shows an example of a combinational loop where the output of a register drives combinational logic that drives one of the register's control signals:

14 Clock 1. Combinational logic used as clock signal should be implemented according to Altera standard scheme 2. Inverter should not be implemented in logic cell 3. Input clock pin should fan out to only one set of combinational logic used as clock signal 4. Clock signal source should drive only input clock ports 5. Clock signal should be a global signal 6. Clock signal source should not drive registers that are triggered by different clock edges Reset 7. Combinational logic used as reset signal should be synchronized 8. External reset should be synchronized using two cascaded registers 9. External reset should be correctly synchronized 10. Reset signal source should drive only input reset ports 11. Reset signal that is generated in one clock domain and used in other, asynchronous clock domains should be synchronized 12. Reset signal that is generated in one clock domain and used in other, asynchronous clock domains should be correctly synchronized Timing Closure 13. Nodes with more than specified number of fan-outs. 14. Top nodes with highest fan-out. 15. Register output directly drives input of another register when both registers are triggered at same time 16. Registers in direct data transfer between clock domains are triggered by clock edges at the same time

15 Non-synchronous design structure 17. Design should not contain combinational loops 18. Register output should not drive register's control signal directly or through combinational logic 19. Design should not contain delay chains 20. Two or more register outputs in cascade should not directly drive clock ports of following registers 21. Pulses should be implemented according to Altera standard scheme 22. Multiple pulses should not be generated in design 23. Design should not contain SR latches 24. Design should not contain latches 25. Combinational logic should not directly drive write enable signal of asynchronous RAM 26. Design should not contain asynchronous memory Signal race 27. One signal source should not drive both input and output enable of tri-state node Asynchronous clock domains 28. Data bits are not synchronized when transferred between asynchronous clock domains 29. All data bits that are transferred between asynchronous clock domains are synchronized 30. Data bits are not correctly synchronized when transferred between asynchronous clock domains HardCopy rules 31. Asynchronous load should be directly supported by one logic cell 32. Only one VREF pin should be assigned to HardCopy test pin in an I/O bank 33. PLL drives multiple clock network types Assignment checking 34. Design is missing fmax requirement 35. Design is missing tco, tpd, or tsu requirement

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d WeChat Search Visual Identity Guidelines WEDESIGN 2018. 04 Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis Chap. 4 Techniques of Circuit Analysis Contents 4.1 Terminology 4.2 Introduction to the Node-Voltage Method 4.3 The Node-Voltage Method and Dependent Sources 4.4 The Node-Voltage Method: Some Special Cases

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

林教授2.PDF

林教授2.PDF 83 Taiwan Congress Function and Trend After Embellishing Constitute LinSuei - gie Abstract National Assembly becomes to be the non-permanent conference aimed at the particular assignments. For this reason,

More information

Microsoft Word - 24217010311110028谢雯雯.doc

Microsoft Word - 24217010311110028谢雯雯.doc HUAZHONG AGRICULTURAL UNIVERSITY 硕 士 学 位 论 文 MASTER S DEGREE DISSERTATION 80 后 女 硕 士 生 择 偶 现 状 以 武 汉 市 七 所 高 校 为 例 POST-80S FEMALE POSTGRADUATE MATE SELECTION STATUS STUDY TAKE WUHAN SEVEN UNIVERSITIES

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

Microsoft Word doc

Microsoft Word doc 中 考 英 语 科 考 试 标 准 及 试 卷 结 构 技 术 指 标 构 想 1 王 后 雄 童 祥 林 ( 华 中 师 范 大 学 考 试 研 究 院, 武 汉,430079, 湖 北 ) 提 要 : 本 文 从 结 构 模 式 内 容 要 素 能 力 要 素 题 型 要 素 难 度 要 素 分 数 要 素 时 限 要 素 等 方 面 细 致 分 析 了 中 考 英 语 科 试 卷 结 构 的

More information

目 錄 實 施 計 畫 1 專 題 演 講 因 應 十 二 年 國 民 基 本 教 育 課 程 綱 要 學 校 本 位 課 程 的 整 體 布 局 A-1 推 動 十 二 年 國 民 基 本 教 育 課 程 綱 要 相 關 配 套 措 施 A-10 分 組 研 討 法 規 研 修 B-1 課 程 教

目 錄 實 施 計 畫 1 專 題 演 講 因 應 十 二 年 國 民 基 本 教 育 課 程 綱 要 學 校 本 位 課 程 的 整 體 布 局 A-1 推 動 十 二 年 國 民 基 本 教 育 課 程 綱 要 相 關 配 套 措 施 A-10 分 組 研 討 法 規 研 修 B-1 課 程 教 高 級 中 等 學 校 學 科 中 心 105 年 度 研 討 會 會 議 手 冊 時 間 :105 年 5 月 18-19 日 地 點 : 明 湖 水 漾 會 館 ( 苗 栗 縣 頭 屋 鄉 ) 指 導 單 位 : 教 育 部 國 民 及 學 前 教 育 署 主 辦 單 位 : 普 通 型 高 級 中 等 學 校 課 程 推 動 工 作 圈 ( 國 立 宜 蘭 高 級 中 學 ) 協 辦 單 位

More information

4. 每 组 学 生 将 写 有 习 语 和 含 义 的 两 组 卡 片 分 别 洗 牌, 将 顺 序 打 乱, 然 后 将 两 组 卡 片 反 面 朝 上 置 于 课 桌 上 5. 学 生 依 次 从 两 组 卡 片 中 各 抽 取 一 张, 展 示 给 小 组 成 员, 并 大 声 朗 读 卡

4. 每 组 学 生 将 写 有 习 语 和 含 义 的 两 组 卡 片 分 别 洗 牌, 将 顺 序 打 乱, 然 后 将 两 组 卡 片 反 面 朝 上 置 于 课 桌 上 5. 学 生 依 次 从 两 组 卡 片 中 各 抽 取 一 张, 展 示 给 小 组 成 员, 并 大 声 朗 读 卡 Tips of the Week 课 堂 上 的 英 语 习 语 教 学 ( 二 ) 2015-04-19 吴 倩 MarriottCHEI 大 家 好! 欢 迎 来 到 Tips of the Week! 这 周 我 想 和 老 师 们 分 享 另 外 两 个 课 堂 上 可 以 开 展 的 英 语 习 语 教 学 活 动 其 中 一 个 活 动 是 一 个 充 满 趣 味 的 游 戏, 另 外

More information

C o n t e n t s...7... 15 1. Acceptance... 17 2. Allow Love... 19 3. Apologize... 21 4. Archangel Metatron... 23 5. Archangel Michael... 25 6. Ask for

C o n t e n t s...7... 15 1. Acceptance... 17 2. Allow Love... 19 3. Apologize... 21 4. Archangel Metatron... 23 5. Archangel Michael... 25 6. Ask for Doreen Virtue, Ph.D. Charles Virtue C o n t e n t s...7... 15 1. Acceptance... 17 2. Allow Love... 19 3. Apologize... 21 4. Archangel Metatron... 23 5. Archangel Michael... 25 6. Ask for a Sign... 27 7.

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63>

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63> 運 用 多 媒 體 製 作 華 文 補 充 教 材 江 惜 美 銘 傳 大 學 應 用 中 文 系 chm248@gmail.com 摘 要 : 本 文 旨 在 探 究 如 何 運 用 多 媒 體, 結 合 文 字 聲 音 圖 畫, 製 作 華 文 補 充 教 材 當 我 們 在 進 行 華 文 教 學 時, 往 往 必 須 透 過 教 案 設 計, 並 製 作 補 充 教 材, 方 能 使 教 學

More information

CANVIO_AEROCAST_CS_EN.indd

CANVIO_AEROCAST_CS_EN.indd 简 体 中 文...2 English...4 SC5151-A0 简 体 中 文 步 骤 2: 了 解 您 的 CANVIO AeroCast CANVIO AeroCast 无 线 移 动 硬 盘 快 速 入 门 指 南 欢 迎 并 感 谢 您 选 择 TOSHIBA 产 品 有 关 您 的 TOSHIBA 产 品 的 详 情, 请 参 阅 包 含 更 多 信 息 的 用 户 手 册 () 安

More information

A Study on Grading and Sequencing of Senses of Grade-A Polysemous Adjectives in A Syllabus of Graded Vocabulary for Chinese Proficiency 2002 I II Abstract ublished in 1992, A Syllabus of Graded Vocabulary

More information

中国人民大学商学院本科学年论文

中国人民大学商学院本科学年论文 RUC-BK-113-110204-11271374 2001 11271374 1 Nowadays, an enterprise could survive even without gaining any profit. However, once its operating cash flow stands, it is a threat to the enterprise. So, operating

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

考試學刊第10期-內文.indd

考試學刊第10期-內文.indd misconception 101 Misconceptions and Test-Questions of Earth Science in Senior High School Chun-Ping Weng College Entrance Examination Center Abstract Earth Science is a subject highly related to everyday

More information

课题调查对象:

课题调查对象: 1 大 陆 地 方 政 府 大 文 化 管 理 职 能 与 机 构 整 合 模 式 比 较 研 究 武 汉 大 学 陈 世 香 [ 内 容 摘 要 ] 迄 今 为 止, 大 陆 地 方 政 府 文 化 管 理 体 制 改 革 已 经 由 试 点 改 革 进 入 到 全 面 推 行 阶 段 本 文 主 要 通 过 结 合 典 型 调 查 法 与 比 较 研 究 方 法, 对 已 经 进 行 了 政 府

More information

Microsoft Word - KSAE06-S0262.doc

Microsoft Word - KSAE06-S0262.doc Stereo Vision based Forward Collision Warning and Avoidance System Yunhee LeeByungjoo KimHogi JungPaljoo Yoon Central R&D Center, MANDO Corporation, 413-5, Gomae-Ri, Gibeung-Eub, Youngin-Si, Kyonggi-Do,

More information

2015年4月11日雅思阅读预测机经(新东方版)

2015年4月11日雅思阅读预测机经(新东方版) 剑 桥 雅 思 10 第 一 时 间 解 析 阅 读 部 分 1 剑 桥 雅 思 10 整 体 内 容 统 计 2 剑 桥 雅 思 10 话 题 类 型 从 以 上 统 计 可 以 看 出, 雅 思 阅 读 的 考 试 话 题 一 直 广 泛 多 样 而 题 型 则 稳 中 有 变 以 剑 桥 10 的 test 4 为 例 出 现 的 三 篇 文 章 分 别 是 自 然 类, 心 理 研 究 类,

More information

A VALIDATION STUDY OF THE ACHIEVEMENT TEST OF TEACHING CHINESE AS THE SECOND LANGUAGE by Chen Wei A Thesis Submitted to the Graduate School and Colleg

A VALIDATION STUDY OF THE ACHIEVEMENT TEST OF TEACHING CHINESE AS THE SECOND LANGUAGE by Chen Wei A Thesis Submitted to the Graduate School and Colleg 上 海 外 国 语 大 学 SHANGHAI INTERNATIONAL STUDIES UNIVERSITY 硕 士 学 位 论 文 MASTER DISSERTATION 学 院 国 际 文 化 交 流 学 院 专 业 汉 语 国 际 教 育 硕 士 题 目 届 别 2010 届 学 生 陈 炜 导 师 张 艳 莉 副 教 授 日 期 2010 年 4 月 A VALIDATION STUDY

More information

网易介绍

网易介绍 2005 Safe Harbor This presentation contains statements of a forward-looking nature. These statements are made under the safe harbor provisions of the U.S. Private Securities Litigation Reform Act of 1995.

More information

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp 天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Explore the impact of emotional factors couples do not

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

2005 5,,,,,,,,,,,,,,,,, , , 2174, 7014 %, % 4, 1961, ,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2

2005 5,,,,,,,,,,,,,,,,, , , 2174, 7014 %, % 4, 1961, ,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2 3,,,,,, 1872,,,, 3 2004 ( 04BZS030),, 1 2005 5,,,,,,,,,,,,,,,,, 1928 716,1935 6 2682 1928 2 1935 6 1966, 2174, 7014 %, 94137 % 4, 1961, 59 1929,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2 , :,,,, :,,,,,,

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

8260

8260 8260 2004 9 Lenovo 15 32 1 60 40 60 2 ... 6... 6... 7... 9... 11... 14... 15... 15... 16... 17 PictBridge... 18... 19... 19 Lenovo... 21 Lenovo... 23... 24... 25 Lenovo... 28... 29 3 ... 30... 30... 31...

More information

341-367

341-367 BIBLID 0254-4466(2000)18:2 pp. 341-367 18 2 89 12 341 342 1 2 3 1 19 1983 2 3 1996. 10 1218 343 4 5 6 7 11 8 28 9 10 11 12 13 14 4 3 1808 5 3 1349 6 3 343 7 3 1292 8 9 1996 4 988 10 3 187 11 3 1506 12

More information

601988 2010 040 113001 2010 8 26 2010 8 12 2010 8 26 15 15 2010 15 0 0 15 0 0 6035 20022007 20012002 19992001 200720081974 1999 2010 20082008 2000 197

601988 2010 040 113001 2010 8 26 2010 8 12 2010 8 26 15 15 2010 15 0 0 15 0 0 6035 20022007 20012002 19992001 200720081974 1999 2010 20082008 2000 197 BANK OF CHINA LIMITED 3988 2010 8 26 ** ** *** # Alberto TOGNI # # # * # 1 601988 2010 040 113001 2010 8 26 2010 8 12 2010 8 26 15 15 2010 15 0 0 15 0 0 6035 20022007 20012002 19992001 200720081974 1999

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

Microsoft Word - TIP006SCH Uni-edit Writing Tip - Presentperfecttenseandpasttenseinyourintroduction readytopublish

Microsoft Word - TIP006SCH Uni-edit Writing Tip - Presentperfecttenseandpasttenseinyourintroduction readytopublish 我 难 度 : 高 级 对 们 现 不 在 知 仍 道 有 听 影 过 响 多 少 那 次 么 : 研 英 究 过 文 论 去 写 文 时 作 的 表 技 引 示 巧 言 事 : 部 情 引 分 发 言 该 生 使 在 中 用 过 去, 而 现 在 完 成 时 仅 表 示 事 情 发 生 在 过 去, 并 的 哪 现 种 在 时 完 态 成 呢 时? 和 难 过 道 去 不 时 相 关? 是 所 有

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

D A

D A 2015 4 D822.333 A 0452 8832 2015 4 0014-12 14 The Second ASEAN Regional Forum: The ASEAN Regional Forum, A Concept Paper, in ASEAN Regional Forum Documents Series 1994-2006, ASEAN Secretariat, Jakarta,

More information

Microsoft PowerPoint _代工實例-1

Microsoft PowerPoint _代工實例-1 4302 動態光散射儀 (Dynamic Light Scattering) 代工實例與結果解析 生醫暨非破壞性分析團隊 2016.10 updated Which Size to Measure? Diameter Many techniques make the useful and convenient assumption that every particle is a sphere. The

More information

Microsoft Word - HC20138_2010.doc

Microsoft Word - HC20138_2010.doc Page: 1 of 7 Date: April 26, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft Word - Final Exam Review Packet.docx

Microsoft Word - Final Exam Review Packet.docx Do you know these words?... 3.1 3.5 Can you do the following?... Ask for and say the date. Use the adverbial of time correctly. Use Use to ask a tag question. Form a yes/no question with the verb / not

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

90 2011 7 1 90 90 90 * 30 1984 1989 4 * 2011 6 1993 1997 1992 1998 2003 5 2004 2007 2008 2010 2008 6 2011 6 * 33% 21% 19% 18% 3% 8 5% * 7 - - - 8 2011 6 * 1921 2011 90 90 90 90 90 1921 1949 1949 16 12

More information

OA-253_H1~H4_OL.ai

OA-253_H1~H4_OL.ai WARNINGS Note: Read ALL the following BEFORE using this product. Follow all Guidelines at all times while using this product. CAUTION This warning indicates possibility of personal injury and material

More information

國 立 政 治 大 學 教 育 學 系 2016 新 生 入 學 手 冊 目 錄 表 11 國 立 政 治 大 學 教 育 學 系 博 士 班 資 格 考 試 抵 免 申 請 表... 46 論 文 題 目 申 報 暨 指 導 教 授... 47 表 12 國 立 政 治 大 學 碩 博 士 班 論

國 立 政 治 大 學 教 育 學 系 2016 新 生 入 學 手 冊 目 錄 表 11 國 立 政 治 大 學 教 育 學 系 博 士 班 資 格 考 試 抵 免 申 請 表... 46 論 文 題 目 申 報 暨 指 導 教 授... 47 表 12 國 立 政 治 大 學 碩 博 士 班 論 國 立 政 治 大 學 教 育 學 系 2016 新 生 入 學 手 冊 目 錄 一 教 育 學 系 簡 介... 1 ( 一 ) 成 立 時 間... 1 ( 二 ) 教 育 目 標 與 發 展 方 向... 1 ( 三 ) 授 課 師 資... 2 ( 四 ) 行 政 人 員... 3 ( 五 ) 核 心 能 力 與 課 程 規 劃... 3 ( 六 ) 空 間 環 境... 12 ( 七 )

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

Analysis of Cultural Elements of Meinong s Paper Umbrella Painting Abstract Meinong paper umbrellas are a traditional industrial art for the Hakka peo

Analysis of Cultural Elements of Meinong s Paper Umbrella Painting Abstract Meinong paper umbrellas are a traditional industrial art for the Hakka peo 美濃紙傘彩繪文化元素之分析及其應用 歐純純 何明穎 摘 要 美濃紙傘是客家人的傳統工藝 也是客家人生活習俗的一部分 就推廣客家文化而言 是 一個非常值得探究的課題 然而就紙傘的研究而言 到目前為止數量並不多 而且針對彩繪元素 的論述並不完整 是以本文企圖以較為細膩深入的方式 對於紙傘的彩繪進行主題式研究 針對 繪圖時所運用的文化元素進行分析 讓讀者能清楚掌握美濃紙傘彩繪時 這些文化元素的圖象類 型及其意涵

More information

東吳大學

東吳大學 律 律 論 論 療 行 The Study on Medical Practice and Coercion 林 年 律 律 論 論 療 行 The Study on Medical Practice and Coercion 林 年 i 讀 臨 療 留 館 讀 臨 律 六 礪 讀 不 冷 療 臨 年 裡 歷 練 禮 更 老 林 了 更 臨 不 吝 麗 老 劉 老 論 諸 見 了 年 金 歷 了 年

More information

Your Field Guide to More Effective Global Video Conferencing As a global expert in video conferencing, and a geographically dispersed company that uses video conferencing in virtually every aspect of its

More information

untitled

untitled Safe Harbor This presentation contains statements of a forward-looking nature. These statements are made under the safe harbor provisions of the U.S. Private Securities Litigation Reform Act of 1995. You

More information

untitled

untitled Ogre Rendering System http://antsam.blogone.net AntsamCGD@hotmail.com geometry systemmaterial systemshader systemrendering system API API DirectX OpenGL API Pipeline Abstraction API Pipeline Pipeline configurationpipeline

More information

PowerPoint Presentation

PowerPoint Presentation Decision analysis 量化決策分析方法專論 2011/5/26 1 Problem formulation- states of nature In the decision analysis, decision alternatives are referred to as chance events. The possible outcomes for a chance event

More information

bingdian001.com

bingdian001.com .,,.,!, ( ), : r=0, g=0, ( ). Ok,,,,,.,,. (stackup) stackup, 8 (4 power/ground 4,sggssggs, L1, L2 L8) L1,L4,L5,L8 , Oz Oz Oz( )=28.3 g( ), 1Oz, (DK) Cx Co = Cx/Co = - Prepreg/Core pp,,core pp,, pp.,, :,,

More information

Product Type Batteries (only) Circuit Breatkers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC N

Product Type Batteries (only) Circuit Breatkers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC N 1201 South Second Street Milwaukee, Wisconsin U.S.A. 53204 Tel 414-382-2000 1 July 2016 RE: China Restriction of Hazardous Substances (RoHS) Dear Customer, Rockwell Automation is committed to demonstrating

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

元代題畫女性詩歌研究

元代題畫女性詩歌研究 國 立 成 功 大 學 中 國 文 學 研 究 所 碩 士 論 文 元 代 題 畫 女 性 詩 歌 研 究 The Research of Painting Poetries of Women Pictures in Yuan Dynasty 研 究 生 : 張 書 容 指 導 教 授 : 張 高 評 中 華 民 國 一 二 年 七 月 摘 要 元 代 題 畫 詩 在 唐 宋 完 善 的 基 礎

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

Microsoft Word - 武術合併

Microsoft Word - 武術合併 11/13 醫 學 系 一 年 級 張 雲 筑 武 術 課 開 始, 老 師 並 不 急 著 帶 我 們 舞 弄 起 來, 而 是 解 說 著 支 配 氣 的 流 動 為 何 構 成 中 國 武 術 的 追 求 目 標 武 術, 名 之 為 武 恐 怕 與 其 原 本 的 精 義 有 所 偏 差 其 實 武 術 是 為 了 讓 學 習 者 能 夠 掌 握 身 體, 保 養 身 體 而 發 展, 並

More information

2015 Chinese FL Written examination

2015 Chinese FL Written examination Victorian Certificate of Education 2015 SUPERVISOR TO ATTACH PROCESSING LABEL HERE Letter STUDENT NUMBER CHINESE FIRST LANGUAGE Written examination Monday 16 November 2015 Reading time: 11.45 am to 12.00

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

:5-6

:5-6 License Agreement for Bible Texts These Scriptures: May not be altered or modified in any form. They must remain in their original context. May not be sold or offered for sale in any form. May not be used

More information

2

2 1 2 3 4 PHY (RAN1) LTE/LTE-A 6.3 Enhanced Downlink Multiple Antenna Transmission 6.3.1 CSI RS 6.4 Uplink Multiple Antenna Transmission 6.4.1 Transmission modes and Signalling requirements for SU-MIMO 6.5

More information

東莞工商總會劉百樂中學

東莞工商總會劉百樂中學 /2015/ 頁 (2015 年 版 ) 目 錄 : 中 文 1 English Language 2-3 數 學 4-5 通 識 教 育 6 物 理 7 化 學 8 生 物 9 組 合 科 學 ( 化 學 ) 10 組 合 科 學 ( 生 物 ) 11 企 業 會 計 及 財 務 概 論 12 中 國 歷 史 13 歷 史 14 地 理 15 經 濟 16 資 訊 及 通 訊 科 技 17 視 覺

More information

HCD0174_2008

HCD0174_2008 Reliability Laboratory Page: 1 of 5 Date: December 23, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315.

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315. 31 5 2011 10 JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct. 2011 1000-1301 2011 05-0075 - 09 510405 1 /35 TU3521 P315. 8 A Earthquake simulation shaking table test and analysis

More information

10384 X0115071 UDC The Research For The Actuality And Development Stratagem Of The China Securities Investment Fund (MBA) 2003 11 2003 12 2003 12 2 0 0 3 11 100 1991, WTO Abstract Abstract The Securities

More information

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc 新 华 远 东 中 国 资 信 评 级 新 华 财 经 有 限 公 司 上 海 远 东 资 信 评 估 有 限 公 司 新 华 远 东 中 国 资 信 评 级 2003 年 电 子 邮 箱 评 级 总 监 联 系 电 话 rating@xfn.com 钟 汶 权 CFA 852-3102 3612 8621-5306-1122 目 的 新 华 财 经 有 限 公 司 与 上 海 远 东 资 信 评

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx IAS 21 Nov 19, 2010 Agenda Page 1 1 2 4 3 11 4 17 5 IFRS 23 Section 1 Section 1 WHY IAS 21? IAS 21 2 Section 1 Determination Functional Currency Presentation Currency First Time Adoption IFRS IAS 21 2

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

monalitDE_002.indd

monalitDE_002.indd MonAliT 70% 70mm 80mm MonAliT VDW-Technology Our diamond and boron nitride tools are manufactured using the new VDW-Technology. Diamond grains are strongly welded with each other. The diamond grain concentration

More information

A

A 33 4 2015 07 Tribune of Political Science and Law Vol. 33 No. 4 July. 2015 * 114 1. 2010 2009 2 20 2009 41 2009 3 31 1500 2009 7 9 2009 6 30670000 30671001 30671002 2009 10 26 2009 7 2009 6 2009 12 28

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

Product Type Batteries (only) Circuit Breakers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC Ne

Product Type Batteries (only) Circuit Breakers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC Ne 1201 South Second Street Milwaukee, Wisconsin U.S.A. 53204 Tel 414-382-2000 1 July 2016 RE: China Restriction of Hazardous Substances (RoHS) Dear Customer, Rockwell Automation is committed to demonstrating

More information

60 台 灣 社 會 學 第 九 期 From Chinese Original Domicile to Taiwanese Ethnicity: An Analysis of Census Category Transformation in Taiwan Fu-chang Wang Instit

60 台 灣 社 會 學 第 九 期 From Chinese Original Domicile to Taiwanese Ethnicity: An Analysis of Census Category Transformation in Taiwan Fu-chang Wang Instit 由 中國省籍 到 台灣族群 戶口普查籍別類屬轉變之分析 王甫昌 中央研究院社會學研究所 這篇論文有兩個目的 一是釐清台灣戶口普查中籍別類屬形成與 轉變的歷史 社會 與政治過程 二是定位籍別類屬在戰後台灣政治 與社會體制中的意義與角色 特別是它與當代台灣族群關係的關連 針對第一個目的 本文首先探究歷次戶口普查中 籍別項目的統計類 屬與統計方式之變化 除了將籍別類屬變化區分為四個階段之外 也 經由歷史材料分析

More information