混訊設計流程_04.PDF

Size: px
Start display at page:

Download "混訊設計流程_04.PDF"

Transcription

1 CIC Referenced Flow for Mixed-signal IC Design Version 1.0

2 (Date) (Description) (Version) V /11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC IC 5 1. (Cell-based) 2. (Full-custom) 3. / (Co-simulation) 4. / Layout 5. CIC CIC Cell Library 1

3 ..1 Abstract Overview Design Flow for Digital Part (Cell-based) RTL Verification RTL Coding RTL Simulation Co-simulation Gate-level Pre-layout Verification Logic Synthesis Gate-level Pre-layout Simulation Co-simulation Gate-level Post-layout Verification APR Gate-level Post-layout Simulation Co-simulation DRC/Block Box LVS Design Flow for Analog Part (Full-custom) Pre-layout Verification Netlist/Schematic Editing Pre-layout Simulation Netlist/Schematic Optimization Co-simulation Post-layout Verification Layout Editing DRC/LVS LPE/Post-layout Simulation Co-simulation LEF Generation Digital/Analog Co-sim ulatio n AMS Environment..21 2

4 4-2 Ultrasim/UltrasimVerilog Environment Nanosim+VCS Environment Digital/Analog Layout Integration and Verification Full-Chip Gate-level Post-layout Verification Layout Integration DRC/Block Box LVS PVS Transistor-level Post-layout Verification PVS DRC/LPE/Nanosim Simulation Tape Out Measurement

5 1 Overview CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC CIC Mixed-signal Design Flow IC 5 1. (Cell-based) 2. (Full-custom) 3. / (Co-simulation) 4. / Layout 5. (Front End) (System Analysis) Digital Part Analog Part HDL / Netlist (Cell-based) (Co-simulation) (Full-custom) (Back End) APR Layout / Gate-level DHL / Layout Integrated Layout (Tape Out) Full-custom Layout / LEF (Measurement) CIC Mixed Signal Design Flow Overview 4

6 CIC CIC Cell Library 5

7 2 Design Flow for Digital Part (Cell-based) CIC CIC Cell Library [1][2] IC Cell-based Design Flow[3] [4] IC CIC Cell Library Cell-based Design Flow IC RTL Coding RTL HDL Code (From Analog Part) Verified Netlist/Schematic RTL Simulation Function Correct Verified RTL HDL Code Co-simulation Co-simVerified RTL HDL Code RTL Verification Logic Synthesis Front End Gate-level Code & Delay Information Gate-level Pre-layout Simulation Function/Timing/Power Meet Spec. Gate-level Pre-layout Verification Verified Gate-level Code & Delay Information Co-simulation (From Analog Part) Verified Netlist/Schematic Verified Netlist with parasitic Information Co-sim Verified Gate-level Code & Delay Information LEF File Released Pre-layout Gate-level Code & Delay Information Back End APR Layout DRC Verification DRC-clean APR Layout APR Gate-level Post-layout Verification Gate-level Post-layout Code & Delay Information Gate-level Post -layout Simulation Function/Timing/Power Meet Spec. (From Analog Part) Verified Netlist/Schematic Verified Netlist with parasitic Information Block Box LVS Verification Released APR Layout Verified Gate-level Post -layout Code & Delay Information Released Gate-level Post-layout Code & Delay Information Co-simulation Design Flow for Digital Part in Mixed-Signal IC Design (Cell-based) 6

8 Design Flow for Digital Part 3 1. RTL Verification 2. Gate-level Pre-layout Verification 3. Gate-level Post-layout Verification Cell-based IC [3] 1. IC Co-simulation Gate-level Pre-layout Verification Gate-level Post-layout Verification IC 2. Cell-based IC Gate-level Post-layout Verification IC IC Gate-level Post-layout Verification IC Layout Full-custom RTL Coding Layout IC D A ( ) Gate-level Pre-layout Verification Pre-layout Verification Co-simulation Gate-level Post-layout Verification IC IC A D ( ) Gate-level Post-layout Verification Layout LEF Layout Integration by APR Tool Layout APR Layout 7

9 IC A D D A IC Cell-based Design Flow for Digital Part 2-1 RTL Verification RTL Verification RTL Coding RTL Simulation Co-simulation Function RTL Coding RTL Coding (Hardware Description Language HDL Verilog VHDL) (Register Transfer Level RTL) Cycle-accurate (Combinational Logic) (Sequential Logic) (Module) (Hierarchical) Verilog VHDL [5] [6] RTL Simulation HDL RTL Code HDL Verilog VHDL Simulator (Input Test Pattern) Simulator Debug Tool Cross Probe CIC Verilog Simulator Cadence NC-Verilog Verilog-XL VHDL Simulator Cadence 8

10 NC-VHDL Synopsys VCS VHDL Simulator Debug Tool CIC Spring Soft Verdi Verilog VHDL Simulator [5] [6] Debug Tool Verdi [7] [8] Co-simulation HDL RTL Code IC (Logic Synthesis) IC (Co-simulation) RTL HDL Code RTL HDL Code 4 Digital/Analog Co-simulation 2-2 Gate-level Pre-layout Verification Gate-level Pre-layout Verification Logic Synthesis Gate-level Pre-layout Simulation Co-simulation Gate-level Pre-layou t Logic Synthesis IC RTL RTL HDL Code RTL Code AND OR (Gate-level) Gate-level HDL Code RTL Code Standard Cell Standard Cell Gate-level HDL Code Timing Power Area (Coding Style) 9

11 (Constraint) CIC Synopsys Design Compiler [9] Cell Library [1][2] Design Compiler Low-power (Design for Test and ATPG) [10] [11] Gate-level Pre-layout Simulation Gate-level HDL Code (Input Test Pattern) HDL Simulator Gate-level Pre-layout Verification RTL Debug Tool Gate-level Pre-layout Verification [5] [6] [9] Co-simulation Gate-level Code IC (Co-simulation) RTL RTL Gate-level Code Layout Layout Layout 10

12 2-3 Gate-level Post-layout Verification Gate-level Post-layout Verification APR Gate-level Post-layout Simulation Co-simulation DRC/Block Box LVS Gate-level Post-layout APR IC Gate-level / Layout Gate-level HDL Code (Auto Place & Route, APR) Layout APR Standard Cell Layout Cell Library Layout Gate-level Post-layout HDL Code Timing Power Area Clock Tree Balance Signal Integrity Power Domain Isolation Cell IC CIC APR Cadence SoC Encounter Synopsys IC Compiler [12] [13] Gate-level Post-layout Simulation APR Gate-level Post-layout HDL Code Delay Gate-level Pre-layout Verification (Input Test Pattern) HDL Simulator Gate-level Post-layout Verification Debug Tool Co-simulation Gate-level Post-layout HDL Code IC 11

13 (Co-simulation) Gate-level Pre-layout Verification APR Gate-level Post-layout HDL Code Release DRC/Block Box LVS APR Layout DRC (Design Rule Check) Layout Design Rule DRC Layout APR Gate-level Post-layout Code Block Box LVS (Layout vs. Schematic) Layout (Extract) Schematic Gate-level Post-layout Code Block Box CIC Standard Cell Standard Cell Standard Cell LVS Block Box LVS Layout Release DRC LVS CIC Mentor Graphics Calibre DRC Block Box LVS [12][13] Calibre DRC/LVS [14] 12

14 3 Design Flow for Analog Part (Full-custom) CIC TSMC35/TSMC18/UMC90 [15] 3 IC Full-custom Design Flow IC Full-custom / Full-custom [16] IC Co-simulation LEF Generation Netlist/Schematic Editing (From Digital Part) Verified RTL HDL Code Verified Gate -level Code & Delay Information Netlist/Schematic Optimization Netlist/Schematic Pre -layout Verification Verified Gate -level Post-layout Code & Delay Information Pre-layout Simulation Function/Timing/Power Correct Front End Verified Netlist/Schematic Co-simulation Co -sim Verified Netlist/Schematic Layout Editing Back End Full-custom Layout (From Digital Part) DRC Verification Verified Gate -level Code & Delay Information Verified Gate -level Post -layout Code & Delay Information DRC -clean Full-custom Layout LVS DRC/LVS -clean Full-custom Layout LPE LEF Transforming Netlist with parasitic Information Post-layout Verification Released Full-custom LEF Released Full-custom Layout Post-layout Simulation Function/Timing/Power Meet Spec. LEF Generation Verified Netlistwith parasitic Information Co-simulation Released Netlist with parasitic Information Design Flow for Analog Part in Mixed-Signal IC Design (Full-custom) 13

15 Full-custom Design Flow for Analog Part 3 1. Pre-layout Verification 2. Post-layout Verification 3. LEF Generation IC Design Flow for Analog Part 3-1 Pre-layout Verification Pre-layout Verification Netlist/Schematic Editing Netlist/Schematic Optimization Pre-layout Simulation Co-simulation Function Netlist/Schematic Editing ( ) (Schematic) (Netlist) Circuit Simulator Netlist/Schematic Editing Netlist/Schematic Editing Timin g Power Area Pre-layout Simulation Full-custom Design Flow Netlist Schematic Circuit Simulator 4 1. SPICE Netlist Synopsys HSPICE SPICE Circuit Simulator SPICE Netlist SPICE Netlist HSPICE 14

16 [17] 2. Cadence Composer Schematic Schematic HSPICE Netlist HSPICE SPICE SPICE (Hierarchy) Composer Schematic HSPICE Netlist HSPICE [16] 3. Cadence Composer Schematic Cadence Spectre ( Analog Design Environment ADE Analog Artist) Schematic Spectre Netlist Spectre Cadence HPSICE Spectre Circuit Simulator Cadence ADE Co-simulation Cadence Circuit Optimization Tool IC Full-Custom ADE Spectre Netlist Spectre [18] 4. SPICE Netlist Fast SPICE Simulator Fast SPICE Simulator HSPICE VLSI Fast SPICE Simulator SPICE 3%~5% Circuit Simulator 50k CIC Fast SPICE Simulator Synopsys Nanosim Cadence Ultrasim Chip Level Nanosim [19] Ultrasim [18] 15

17 3-1-3 Netlist/Schematic Optimization Schematic/Netlist ( ) CIC Cadence NeoCircuit Cadence Spectre NeoCircuit NeoCircuit NeoCircuit Spectre [20] NeoCircuit Spectre Co-simulation Schematic/Netlist Full-custom/ Full-custom IC Layout Editing IC (Co-simulation) Schematic/Netlist Schematic/Netlist Layout Editing 4 Digital/Analog Co-simulation 3-2 Post-layout Verification Post-layout Verification Layout Editing DRC/LVS LPE/Post-layout Simulation Co-simulation Post-layout Layout Editing IC Full-custom/ Schematic/Netlist Schematic/Netlist 16

18 Layout Editor Schematic/Netlist Layout Layout Full-custom/ Layout CIC Layout Editor Cadence Virtuoso-XL Spring Soft Laker Virtuoso-XL Full-custom/ Layout ( ) Layout [16] Full-custom/ Layout [14] Laker Virtuoso-XL DRC/LVS Layout Editor Layout DRC (Design Rule Check) Layout Design Rule DRC Layout Pre-layout Verification Schematic/Netlist LVS(Layout vs. Schematic) Layout (Extract) Schematic Pre-layout Verification Schematic DRC LVS CIC Mentor Graphics Calibre Virtuoso-XL Laker Layout Calibre DRC/LVS [16] [21] Calibre DRC/LVS [14] LPE/Post-layout Simulation DRC/LVS Layout LPE (Layout Parasitic Extraction) Netlist Post-layout Simulation Post-layout Simulation Timing Power Area Full-custom/ Release Schematic/Netlist Layout CIC LPE Tool Calibre XRC Calibre XRC SPICE Netlist HSPICE Post-layout Verification 17

19 LPE Post-layout Verification [16] Calibre XRC [14] Co-simulation IC Full-custom/ Post-layout Simulation (Co-simulation) Post-layout Simulation Schematic/Netlist Layout LEF Generation Layout 4 Digital/Analog Co-simulation 3-3 LEF Generation IC Full-custom/ GDSII Layout APR LEF (Layout Extraction File) IC Layout APR Cell Stand Cell Layout LEF APR Layout Cell Boundary IO Pin CIC GDSII LEF Cadence Abstract Generator [18] 18

20 4 Digital/Analog Co-simulation (Co-simulation) IC CIC Cell-based RTL Verification Cell-based Pre-layout Verification Full-custom Pre-layout Verification Full-custom Post-layout Verification Cell-based Post-layout Verification 5 5 co-sim. Cell-Based RTL Verification 1. Full-custom Pre-layout Verification co -sim. co -sim. co-sim. 2. co-sim. co -sim. co-sim. Cell-Based Pre-layout Verification Full-custom Post-layout Verification co -sim. co-sim. co-sim. 5. co-sim. Cell-Based Post-layout Verification co-sim. co-sim. Cell-based / Full-custom Layout Integration Required co-sim. Optional co -sim. Digital/Analog Co-simulation in Mixed-Signal IC Design IC 5 CIC

21 2. /Cell-based Pre-layout Verification /Full-custom Pre -layout Verification Standard Cell Full-custom/ Layout /Full-custom Pre -layout Layout Layout 4 Layout Layout ( 5-2 ) /Cell-based RTL Verification /Full-custom Pre -layout Verification 3. /Cell-based Pre-layout Verification Standard Cell /Full-custom Post-layout Verification 4. Layout /Cell-based Post-layout Verification Layout /Full-custom Pre-layout Verification Standard Cell /Full-custom IC Layout Pre-layout Verification 20

22 5. Layout Layout /Cell-based Post-layout Verification /Full-custom Post-layout Verification Layout Layout Layout 2 CIC / AMS Environment Cadence AMS Designer Cadence Virtuoso IC Schematic Verilog Cadcnce Spectre Cadcnce NC-Sim AMS SimVision NC-Sim Digital Simulator Spectre Analog Simulator AMS Simulator Mixed Language Debugger Mixed Waveform Display AMS Environment in Mixed-Signal IC Co-simulation AMS Virtuoso Cell Configuration View Configuration View Connect Module ADE Spectre Virtuoso Schematic 21

23 /Full-custom Pre-layout Verification /Cell-based Verilog RTL Pre-layout Post-layout Gate-level HDL Code AMS [18] 4-2 UltrasimVerilog Environment Cadence AMS Designer Cadence Virtuoso IC Schematic Verilog Cadcnce Fast SPICE Simulator Ultrasim Cadcnce Verilog-XL SimVision Verilog-XL Digital Simulator Ultrasim Analog Simulator UltrasimVerilog Simulator Mixed Language Debugger Mixed Waveform Display UltrasimVerilog Environment in Mixed-Signal IC Co-simulation UltrasimVerilog Virtuoso Cell Configuration View Configuration View IE Models ADE Ultrasim Virtuoso Schematic /Full-custom Pre-layout Verification /Cell-based Verilog RTL Pre-layout Post-layout Gate-level HDL Code Fast SPICE Simulator Ultrasim Spectre A D Pre-layout UltrasimVerilog Ultrasim [18] 22

24 4-3 Nanosim Integrated with VCS Environment (NIV) Synopsys Fast SPICE Simulator Nanosim /Full-custom SPICE Netlist Synopsys Verilog Simulator VCS Spring Soft Verdi SPICE Netlist Transistor Verilog Netlist Verilog NanoSim VCS Simulation output Waveform Viewer Simulation output NIV Environment in Mixed-Signal IC Co-simulation NIV IC /Full-custom SPICE Verilog ( SPICE-top) Verilog /Full-custom SPICE ( Verilog-top) 2 NIV Command Line SPICE Netlist Verilog HDL Code /Full-custom Pre-layout Post-layout SPICE Netlist /Cell-based Verilog RTL Pre-layout Post-layout Gate-level HDL Code A D Pre-layout Nanosim VCS [19] 23

25 5 Digital/Analog Layout Integration and Full Chip Post-layout Verification IC CIC Layout Released Full-custom LEF Released Pre -layout Gate-level Code & Delay Information Released Full-custom Layout Released APR Layout Layout Integration Integrated Layout DRC Verification DRC-clean Integrated Layout Block Box LVS Verification Full-Chip Gate-level Post-layout Verification Block Box DRC/LVS -clean Integrated Layout PVS DRC PVS DRC-clean Integrated Layout PVS LPE Whole Chip Netlist with parasitic Information PVS NanosimSimulation Function/Timing/Power Meet Spec. Verified Whole Chip Layout Verified Whole Chip Netlist with parasitic Information PVS Transistor-level Post-layout Verification Digital/Analog Layout Integration and Verification in Mixed-Signal IC Design 24

26 Digital/Analog Layout Integration and Verification 2 1. Full-Chip Gate-level Post-layout Verification 2. PVS Transistor-level Post-layout Verification Full-Chip Gate-level Post-layout Verification 2 Design Flow for Digital Part Gate-level Post-layout Verification DRC Block Box LVS Gate-level Post-layout Verification PVS Transistor-level Post-layout Verification CIC Full Chip Transistor-level Post-layout Verification IC CIC PVS Transistor-level Post-layout 5-2 Full-Chip Gate-level Post-layout Verification Transistor-level Post-layout Verification CIC IC Digital/Analog Layout Integration and Verification 5-1 Full-Chip Gate-level Post-layout Verification Full-Chip Gate-level Post-layout Verification Layout Integration DRC/Block Box LVS Gate-level Post-layout Layout Integration IC 2 Layout Integration 1. Layout Integration by Layout Editor IC APR Physical Layout APR 25

27 CIC Layout Editor (Cadence Virtuoso-XL Spring Soft Laker) / GDSII Layout Full-custom IC / Layout Layout 2. Layout Integration by APR Tool IC APR / Physical Layout CIC APR (Cadence SoC Encounter) / LEF APR IC Layout Layout Layout [18] DRC/Block Box LVS IC IC Cell-based DRC Block Box LVS Layout DRC LVS IC CIC Cell-based Design Kit DRC Block Box LVS IC Layout DRC Block Box LVS [18] 5-2 PVS Transistor-level Post-layout Verification Full-Chip Gate-level Post-layout Verification CIC Post-layout Verification CIC PVS (Post-Layout Verification System) Layout DRC LPE PVS Transistor-level Post-layout Verification 26

28 5-2-1 PVS DRC/LPE/Nanosim Simulation (Remote Login) CIC Full-Chip Layout CIC CIC 4 PVS Transistor-level Post-layout Verification 1. Standard Cell Standard Cell Layout CIC Standard Cell Layout Block Box 2. Calibre Layout DRC 3. Calibre Layout LPE IO Pad Netlist 4. Nanosim Layout Transistor-level Post-layout Verification IC IC PVS PVS Transistor-level Post-layout Verification [18] [19] 6 Tape Out IC CIC CIC IC CIC TSMC35 TSMC18 7 Measurement CIC SoC IC [22] [23] CIC PCB CIC 27

29 [1]. CIC ARM Cell-based Design Kit for UMC 90nm process [2]. CIC ARM Cell-based Design Kit for TSMC 0.18um process [3]. CIC-DSD-RD CIC Referenced Flow for Cell-based IC Design 2008/05 V.1.0 ( ) [4]., "Cell-Based IC Design Concepts", CIC [5].,, "Verilog", CIC [6]., "VHDL", CIC [7]., "HDL Debugging with Verdi", CIC [8]., "Advanced Debugging with Verdi", CIC [9]., "Logic Synthesis with Design Compiler", CIC [10]., Power Optimization / Analysis with Synopsys Tool Power Compiler and PrimePower, CIC [11]., "Design for Testability with TurboBIST-Memory, DFT Compiler and TetraMAX", CIC [12]., "Cell-Based IC Physical Design and Verification with SOC Encounter", CIC [13]., Cell-based IC Physical Design and Verification with IC Compiler, CIC [14]., "Physical Verification with Calibre", CIC [15]. CIC TSMC35/TSMC18 [16].,,,, "Full-Custom IC Design Concepts (for WS)", CIC [17]., "Circuit Simulation and Analysis with HSPICE", CIC [18]., "Mixed-Signal IC Design Concepts", CIC [19]., "Post-Layout Simulation Verification with Nanosim", CIC [20]., "Circuit Optimization with NeoCircuit", CIC [21]., "Full-Custom Layout Editor with Laker", CIC

30 [22].,, "Introduction to Digital IC Testing", CIC [23]., "The Mixed-Signal IC Testing with Agilent Series", CIC

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

工业和信息化部人才交流中心

工业和信息化部人才交流中心 乐 麦 夫 教 育 电 子 信 息 - 集 成 电 路 紧 缺 人 才 培 养 计 划 集 成 电 路 设 计 系 列 培 训 课 程 超 大 规 模 集 成 电 路 可 测 性 设 计 (DFT) 技 术 与 实 践 培 训 课 程 VLSI DFT Technology and Practice 第 一 期 中 国. 上 海 2016 年 4月 22 日 4 月 23日 各 有 关 单 位 :

More information

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial VLSI Design Lab3 Dracula- Layout Verification Advisor Presenter: 2003/04/25 ACCESS IC LAB Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial Introduction ACCESS IC LAB Design

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Microsoft Word - SOC_Encounter_Flow_new4.docx

Microsoft Word - SOC_Encounter_Flow_new4.docx SOC Encounter Flow First version: Chlin 2007.07.13 Second version: Paulman, lkince, Arong 2008.10.20 Data Prepare Description Working Directory Bonding Pad Capacitance Table File IO Filler Layout Mapping

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

enews172_1

enews172_1 / http://www.cic.org.tw/login/login.jsp CIC Package Design with Allegro APD 104 IC 104 T50UHV Introduction to Conversational French - Syllabus Summer 2004 1 14 2 12 CMOS MorSensorMorFPGA DUO MorSensor

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

九十六簡章

九十六簡章 105 年 度 數 位 IC 設 計 能 力 鑑 定 考 試 簡 章 指 導 單 位 : 經 濟 部 工 業 局 主 辦 單 位 : 財 團 法 人 國 家 實 驗 研 究 院 國 家 晶 片 系 統 設 計 中 心 I 105 年 數 位 IC 設 計 能 力 鑑 定 重 要 日 程 表 項 目 時 程 說 明 考 試 簡 章 公 告 105/07/22 IC 設 計 專 業 人 才 能 力 鑑

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

行動電話面板產業

行動電話面板產業 TFT-LCD IC LCD TV Monitor TFT LCD IC 2005 Samsung IC - IC LCD TV LCD monitor LCD TV 2004~2007 69% LCD Monitor 2004~2007 18% IC 2004 ~2009 16.26% 2004 ~2009 10.39% ASP (Fine Pitch) IC Pin IC IC IC TCP COF

More information

Microsoft PowerPoint - IC.ppt

Microsoft PowerPoint - IC.ppt Full Customer Design Flow using Laker and ICFB 元智資工超大型積體電路設計導論 Written by 蔣宇程 超大型積體電路導論 1 環境 作業系統 :Solaris 8 以上 工作站 :Sun workstation 教室 :1301 IC 設計學院 進入教室請勿攜帶食物及飲料 工作站有問題請找助教, 切勿自行關機或重開 不要長時間 lock 工作站,

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

資訊系統開發模式

資訊系統開發模式 第 2 章 資 訊 系 統 開 發 模 式 學 習 目 標 2.1 導 論 2.2 瀑 布 模 式 2.3 漸 增 模 式 2.4 雛 型 模 式 2.5 螺 旋 模 式 2.6 同 步 模 式 2.7 Rational 統 一 流 程 模 式 2.8 敏 捷 軟 體 開 發 2.9 MDA 發 展 生 命 週 期 2.10 結 論 本 章 大 綱 學 習 目 標 詳 讀 本 章, 你 至 少 能

More information

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT 作 者 : 楊 哲 彰 (2005-02-23); 推 薦 : 徐 業 良 (2005-02-28) 附 註 : 本 文 為 元 智 大 學 機 械 系 自 動 化 機 械 設 計 課 程 教 材 Protel 電 腦 輔 助 電 路 設 計 軟 體 入 門 (2) PCB 製 作 與 輸 出 在 先 前 的 文 件 中, 介 紹 了 Protel 99 SE 的 基 本 操 作, 並 且 以 穩

More information

9 月 1 日 -10 月 31 日, 欢 迎 通 过 进 行 网 申 空 缺 职 位 若 干 软 件 研 发 工 程 师 产 品 验 证 工 程 师 产 品 工 程

9 月 1 日 -10 月 31 日, 欢 迎 通 过  进 行 网 申 空 缺 职 位 若 干 软 件 研 发 工 程 师 产 品 验 证 工 程 师 产 品 工 程 Cadence 2016 校 园 招 聘 火 热 进 行 中 公 司 介 绍 Cadence 是 一 家 电 子 设 计 自 动 化 (EDA) 与 半 导 体 知 识 产 权 (IP) 的 领 先 供 应 商 我 们 的 定 制 / 模 拟 工 具 帮 助 工 程 师 设 计 构 成 芯 片 级 系 统 (SoCs) 芯 片 的 晶 体 管 标 准 单 元 和 IP 模 块 我 们 的 数 字 工

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

IEEE/EIA 12207 0910023766 ??? The Frameworks Quagmire (http://www.software.org/quagmire/) () ISO 9001 ISO/IEC 12207 ISO/IEC 15504 CMM Quality System Requirements Life Cycle Processes Process Assessment

More information

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2]

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2] (91 _ (91 91 1 7 A08-91A E-mail : mail@mail.cycu.edu.tw / / (03456789-111 0912345678 (034567890 E-mail : d12345@cycu.edu.tw 1. 2. E-mail E-mail Research and design on phase locked loops for clock generator

More information

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计 精 密 仪 器 系 00130022 光 盘 存 储 及 应 用 技 术 2 学 分 32 学 时 CD ROM and Its Applications 本 课 程 主 要 讨 论 光 学 数 字 数 据 存 储 技 术 基 本 原 理, 光 盘 读 写 擦 系 统 的 种 类 特 点, 工 作 机 理, 信 号 读 出 时 钟 恢 复 均 衡 信 号 评 价, 光 盘 数 据 格 式 与 数 据

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

目 錄 一 研 討 會 活 動 主 題... 1 二 參 訪 名 單 及 分 房 資 訊... 2 三 參 訪 行 程 表... 3 四 會 議 地 點 及 歡 送 晚 宴 地 點... 6 五 研 討 會 議 程 表... 7 六 接 待 人 員 名 單... 8 七 參 訪 景 點 簡 介...

目 錄 一 研 討 會 活 動 主 題... 1 二 參 訪 名 單 及 分 房 資 訊... 2 三 參 訪 行 程 表... 3 四 會 議 地 點 及 歡 送 晚 宴 地 點... 6 五 研 討 會 議 程 表... 7 六 接 待 人 員 名 單... 8 七 參 訪 景 點 簡 介... 第 二 十 一 屆 海 峽 兩 岸 國 家 公 園 暨 自 然 保 護 區 研 討 會 参 訪 行 程 手 冊 日 期 :2014.12.06( 六 )-2014.12.12( 五 ) 指 導 單 位 : 內 政 部 營 建 署 主 辦 單 位 : 國 家 公 園 學 會 協 辦 單 位 : 墾 丁 國 家 公 園 管 理 處 金 門 國 家 公 園 管 理 處 台 江 國 家 公 園 管 理 處

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

untitled

untitled 2005 3 13 Introduction Circuit and system representation Design strategies Introduction Circuit and system representation Design strategies Four Phases in Creating a Chip This Lecture Other Lecture Other

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft Word - CDNLive2012_Virtuoso_Xpeedic_Feng Ling.docx

Microsoft Word - CDNLive2012_Virtuoso_Xpeedic_Feng Ling.docx 基 于 Virtuoso 的 射 频 集 成 电 路 快 速 三 维 电 磁 场 分 析 Virtuoso-Integrated Fast 3D Full Wave EM Simulation Tool for RF IC Designs 凌 峰, 代 文 亮, 贾 海 珑 苏 州 芯 禾 电 子 科 技 有 限 公 司 苏 州 吴 江 科 创 园 长 安 路 2358 号,215200 摘 要 :

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63> 无 锡 信 捷 电 气 股 份 有 限 公 司 WuXi Xinje Electric Co.,Ltd. ( 无 锡 市 滨 湖 区 胡 埭 工 业 园 北 区 刘 塘 路 9 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 声 明 本 公 司

More information

<4D6963726F736F667420576F7264202D20D5E3BDADD5FDD4AAD6C7BBDBBFC6BCBCB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1B2A2D4DAB4B4D2B5B0E5C9CFCAD0D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303135C4EA36D4C23139C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20D5E3BDADD5FDD4AAD6C7BBDBBFC6BCBCB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1B2A2D4DAB4B4D2B5B0E5C9CFCAD0D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303135C4EA36D4C23139C8D5B1A8CBCDA3A92E646F63> 浙 江 正 元 智 慧 科 技 股 份 有 限 公 司 ( 杭 州 市 余 杭 区 文 一 西 路 1500 号 1 幢 301 室 ) 首 次 公 开 发 行 股 票 并 在 创 业 板 上 市 招 股 说 明 书 ( 申 报 稿 ) 本 公 司 的 发 行 申 请 尚 未 得 到 中 国 证 监 会 核 准 本 招 股 说 明 书 ( 申 报 稿 ) 不 具 有 据 以 发 行 股 票 的 法

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

Layout Skill_and_Varification

Layout Skill_and_Varification IC 佈 局 設 計 能 力 鑑 定 題 庫 及 參 考 解 答 學 科 題 庫 選 擇 題 - 共 50 題 術 科 題 庫 佈 局 題 - 共 1 題 DRC 除 錯 題 - 共 1 題 LVS 除 錯 題 - 共 1 題 - 1 - 考 試 注 意 事 項 : 測 驗 內 容 :( 詳 細 內 容 依 簡 章 公 告 為 主 ) 科 目 鑑 定 主 題 鑑 定 內 容 學 科 筆 試 共 計

More information

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63> 本 次 发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 发 行 股 数 : 1,120 万 股 每 股 面 值 : 1.00 元 每 股 发 行 价 格 : [ ] 元 预 计 发 行 日 期 : [ ] 年 [ ] 月 [ ] 日 拟 上 市 的 证 券 交 易 所 : 发 行 后 总 股 本 : 深 圳 证 券 交 易 所 4,460 万 股 本 公 司 控 股

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

目录

目录 ...1 2 1.1 ASIC...2 1.2.5 1.3 Ambit 7 2.1 2.2 Ambit.8 2.3 Ambit.9 2.4 13 Silicon Ensemble 15 3.1 15 3.2 Silicon Ensemble 16 3.3 Silicon Ensemble 3.4 SE.22 3.5.22 PKS(Physical knowledge synthesis)..24 4.1.2

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

UDC

UDC UDC P GB 50339 2003 Code for acceptance of quality of Intelligent building systems 2003-07-01 2003-10-01 1 Code for acceptance of quality of Intelligent building systems GB 50339 2003 2003 1 0 0 1 2 159

More information

Microsoft Word 電子構裝結構分析1221.doc

Microsoft Word 電子構裝結構分析1221.doc 電 子 構 裝 結 構 分 析 徐 祥 禎 ( 義 守 大 學 機 械 與 自 動 化 工 程 學 系 副 教 授 ) 前 言 電 子 構 裝 (Electronic Packaging), 主 要 是 利 用 固 定 接 著 技 術, 將 積 體 電 路 (Integrated Circuit, IC) 晶 片 固 定 在 承 載 襯 墊 (Die Pad) 上, 並 利 用 細 微 連 接 技

More information

...2-1...2-2 2.1...2-6 2.1.1...2-6 2.1.2...2-9 2.1.3...2-11 2.1.4...2-14 2.1.5...2-15 2.1.6...2-16 2.2...2-19 2.3...2-23 2.4...2-32...2-36 3.1...2-36

...2-1...2-2 2.1...2-6 2.1.1...2-6 2.1.2...2-9 2.1.3...2-11 2.1.4...2-14 2.1.5...2-15 2.1.6...2-16 2.2...2-19 2.3...2-23 2.4...2-32...2-36 3.1...2-36 ...2-1...2-2 2.1...2-6 2.1.1...2-6 2.1.2...2-9 2.1.3...2-11 2.1.4...2-14 2.1.5...2-15 2.1.6...2-16 2.2...2-19 2.3...2-23 2.4...2-32...2-36 3.1...2-36 3.2...2-37...2-38 4.1...2-38 4.2...2-40 ...2-44...2-47

More information

Debugging the Zynq All Programmable SoC

Debugging the Zynq All Programmable SoC 2014 Xilinx All Programmable 客户技术培训 1Debugging the Zynq All Programmable SoC 2014.1 36300**slide Objectives After completing this module, you will be able to Explain the various JTAG chain configurations

More information

Microsoft Word ³¯¨Ø¿·.doc

Microsoft Word ³¯¨Ø¿·.doc 2G[*WG[%JGP! ï *UKCQ%JGPI9CPI { *UKP.QPI9W!,WKP/KPI.W IP î!! tid IP îã ôðî IP Qualification IP Functional Verification IP Qualification lî ¹vq t üµ à IP Ð j y à IP Qualification IP Qualification Guidelines

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

/ 4 4 / 5 /

/ 4 4 / 5 / 52 2 1 2 3 1 2 10 / 4 4 / 5 / 2020 6 30 2019 4 30 2 1.2018 2.2018 3.2018 4. 2018 7 13 3 1 2018 1 Environmental Policy Analysis 2 MedievalEnglish Literature Leonard Neidorf 3 Chinese History and Civilization

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

untitled

untitled 2 / 20060302 Design House 1 1 3 1 2 10 CMM 3 4 mypm.net CEO IT 15 6.4 1.IT 90% 70% 60.5% 87.3% 92.2%. IT 1996 GDP 0.6% 1.1%. 60% 5 5 2 1000 4 5 1000 CEO 20 50 6 50% 66% CEO 20 50 IBM s/360 5 50 29 IBM4

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

X 10 1 12 13 14 15 16 1 17 18 19 20 21 2 23 24 25 26 27 28 29 30 31 32 3 34 35 Ade Ade 36 37 38 39 40 41 42 43 4 45 46 47 48 49 50 51 52 53 54 5 56 57 58 59 60 61 62 63 64 65 6 67 68 69 70 71 72 73 74

More information

行 精 類 行 年 年 行 立 林 參 理 理 年

行 精 類 行 年 年 行 立 林 參 理 理 年 行 精 類 行 年 年 行 立 林 參 理 理 年 USB OTG USB The Design and Implementation of a USB OTG Chip and USB Speaker System NSC 222 E 95 49 95 8 96 7 3 ( ) 96 USB OTG 997 PC USB (Universal Serial Bus) USB PC USB OTG

More information

untitled

untitled 103 30401 1 03-5593142 22192220 http://www.must.edu.tw 103 3 3 4 4 5 6 6 7 8 8 8 8 9 9 9 10 12 13 14 16 17 18 19 20 21 22 23 24 25 1 103 103 103. 4. 7 103. 5. 5~103. 5.20 103. 5.29 103. 6. 4 103. 6. 7

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

Learning Java

Learning Java Java Introduction to Java Programming (Third Edition) Prentice-Hall,Inc. Y.Daniel Liang 2001 Java 2002.2 Java2 2001.10 Java2 Philip Heller & Simon Roberts 1999.4 Java2 2001.3 Java2 21 2002.4 Java UML 2002.10

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

音響論壇 A Report dcs Bartók dcs dcs 響論壇162 值得大聲喝采音

音響論壇 A Report dcs Bartók dcs dcs 響論壇162 值得大聲喝采音 音響論壇 A Report dcs dcs 響論壇162 值得大聲喝采音 04 05 06 09 13 16 24bit DAC 950 9 Hifiman 50 20-50 07 08 10 11 1214 15 17 18 19 20 01 02 0310-20 5-10 5 dcsdelta Conversion Meridian 1977 Systems 1987 Allen 1997972

More information

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9>

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9> 南 京 埃 斯 顿 自 动 化 股 份 有 限 公 司 NANJING ESTUN AUTOMATION CO., LTD ( 南 京 江 宁 经 济 技 术 开 发 区 将 军 南 路 155 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 暨 主 承 销 商 ( 深 圳 市 福 田 区 民 田 路 178 号 华 融 大 厦 5 6 楼 ) 声 明 :

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

D C 93 2

D C 93 2 D9223468 3C 93 2 Java Java -- Java UML Java API UML MVC Eclipse API JavadocUML Omendo PSPPersonal Software Programming [6] 56 8 2587 56% Java 1 epaper(2005 ) Java C C (function) C (reusability) eat(chess1,

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 5-1 - 1....3 1.1....3 1.2. GV-R9200...3 2....4 2.1....4 2.2....5 2.3....7 3....9 3.1. Windows 98/98SE Windows ME Windows XP 9 3.1.1....

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

chapt01.ppt

chapt01.ppt 2004.2.16 PC Laptop Mainframe Server (PDA) MP3 MP3 : : :, 225 ; 35 50 --- 94% ; Intel Pentium, Motorola PowerPC, etc. 6% ,,, (RTOS) Minimally Requirement for an Embedded System NMI Address Bus Microprocessor

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

CDWA Mapping. 22 Dublin Core Mapping

CDWA Mapping. 22 Dublin Core Mapping (version 0.23) 1 3... 3 3 3 5 7 10 22 CDWA Mapping. 22 Dublin Core Mapping. 24 26 28 30 33 2 3 X version 0.2 ( ) 4 Int VarcharText byte byte byte Id Int 10 Management Main Code Varchar 30 Code Original

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

10384 X2009230010 UDC The Design and Implementation of Small and Medium-sized Courier Company Logistics Vehicle Scheduling System 2012 06 Abstract With the arrival of the information age, tremendous

More information