2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus

Size: px
Start display at page:

Download "2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus"

Transcription

1 2 Quartus Quartus 2.3 Quartus

2 2.1 Altera Quartus (SOPC) SOPC Quartus (PLD) Quartus FPGA CPLD 2.1 Quartus

3 2.1 Quartus

4 2.1.1 Quartus 2.2 Quartus

5 Text Editor Block&Symbol Editor MegaWizard Plug-In Manager Assignment Editor Floorplan Editor SOPC Builder DSP Builder Software Builder Analysis&Synthesis VHDL VerilogHDL AHDL Design Assistant RTL Viewer LogicLock Window Floorplan Editor VQM Writer Fitter Assignment Editor Floorplan Editor Chip Editor Report Window Incremental Fitting Timing Analyzer Report Window Simulator Waveform Editor EDA EDA Netlist Writer Floorplan Editor LogicLock Window SignalTap II SignalProbe Chip Editor RTL Viewer Assembler Programmer Convert Programming Files Chip Editor Resource Property Editor Change Manager 2.2 Quartus

6 2.1.2 EDA Quartus EDA Quartus EDA 2.3 EDA

7 VHDL (.vhd) VerilogHDL (.v) Quartus EDA EDA Quartus Quartus EDIF (.edf) Verilog Quartus (.vqm) EDA EDA Quartus EDA Netlist Writer EDA Quartus Quartus Assembler Quartus EDA EDA Verilog (.vo) VHDL (.vho) VQM (.sdo) Tcl (.tcl) IBIS (.ibs) STAMP (.data.mod.lib) Quartus EDA 2.3 EDA

8 Quartus EDA NativeLink Quartus EDA Quartus ( Tcl ) Quartus Makefile 2.4

9 2.4 Quartus Tcl Makefile

10 Quartus Shell quartus_sh Quartus Shell Quartus Tcl Verilog (.v) VHDL (.vhd) Verilog Quartus (.vqm) (.tdf) (.bdf) EDIF (.edf) quartus_sim quartus_tan quartus_map quartus_fit Design Assistant quartus_drc Compiler Database quartus_cdb EDA Netlist Writer quartus_eda Assembler quartus_asm Software Builder quartus_swb quartus_pgm quartus_cpf EDA Verilog (.vo) VHDL (.vho) VQM (.sdo) 2.4

11 2.1.4 Quartus 1 Altera Quartus LogicLock LogicLock

12 2 IP Quartus SOPC Builder SOPC Builder (SOPC) IP ( ) SOPC Builder Altera MegaWizard Plug-In Manager Quartus (LPM) Altera/AMPP SM IP Megafunctions

13 3 I/O Quartus I/O ( ) (PCB)

14 4 Quartus Altera FPGA Quartus 4.0 FIFO RAM 5 CPLD FPGA HardCopy ASIC CPLD FPGA Quartus FPGA IP HardCopy Stratix FPGA ASIC

15 6 Quartus (GUI) Quartus Synopsys (SDC) Quartus (Tcl)

16 7 Quartus Quartus Quartus 4.0 MAX+PLUS Quartus Quartus

17 2.2 Quartus PC Quartus Altera (1) 400 MHz 512 MB (2) 800 MB Quartus (3) Microsoft Windows NT 4.0(Service Pack 4 ) Windows 2000 Windows XP

18 (4) Microsoft Windows SVGA (5) CD-ROM (6) ByteBlaster ByteBlasterMV (LPT ) MasterBlaster USB-Blaster MasterBlaster APU(Altera Programming Unit) USB ( Windows 2000 Windows XP) (7) Microsoft IE 5.0 (8) TCP/IP

19 2.2.2 Quartus Quartus ( Quartus 4.0 ) (1) Quartus Quartus ( 2.5 ) install.exe

20 2.5 Quartus

21 (2) Install Quartus and Related Software Quartus ( 2.6 ) GNU Tools and Excalibur Component ModelSim- Altera

22 2.6 Quartus

23 (3) 2.7 Quartus ( )

24 2.7 Quartus

25 EDA 2.8

26 2.8

27 2.8 Next> Quartus (4) Quartus

28 2.2.3 Quartus 1 Quartus Altera (license.dat) Altera Quartus node-locked(fixedpc) license network license(floatpc FLOATNET FLOATLNX) Quartus

29 (1) network license( ) node-locked license( ) Quartus license.dat Altera MAX+PLUS (2) network license(floatpc FLOATNET FLOATLNX) FLEXlm (FLEXlm license manager server) (3) node-locked(fixedpc) (Sentinel Software Guard) (4) Quartus (5) (license.dat)

30 2 Quartus 30 Altera Altera Licensing ASCII license.dat (1) Quartus Altera ID (2) network license( ) G 5 (Gxxxxx) node-locked license( ) (Software Guard) T (Txxxxxxxxx)

31 (3) (NIC) NIC < > \quartus4\bin\lmutil lmhostid < > ipconfig /all 2.9

32 2.9 (NIC)

33 (1) IE Altera (2) node-locked license( ) FIXEDPC network license( ) FLOATPC FLOATNET FLOATLNX (3)

34 (4) Altera license.dat 2.10

35 Daemon 2.10 Node-Locked( )

36 2.11 PC

37 HOST ID Daemon 2.11 Network( )

38 3 license.dat Daemon HOST ID NIC ID ( VENDOR) SERVER <hostname> 00E04C6E013E <port number> VENDOR alterad <path to daemon executable> USE_SERVER HOST ID NIC ID

39 (1) license.dat 2.1

40 2.1 <hostname> <port number> EDA_Altera PC alterad<path to daemon executable> Altera Vendor Daemon \<Quartus >\bin\alterad.exe

41 (2).dat (3).dat license.dat.txt FEATURE FEATURE \ 4 Quartus 1) Quartus Quartus

42 (1) Quartus (2) Specify valid license file Tools Options License Setup 2.12

43 LM_LICENSE_FILE AMPP/MegaCore 2.12 Options License Setup

44 (3) License file license.dat <host> PC <port> license.dat 2.11 (4) OK AMPP MegaCore License Setup Licensed AMPP/MegaCore functions

45 2) Windows NT Windows 2000 Windows XP Windows NT Windows 2000 Windows XP Quartus Windows NT (1) (2) (3)

46 (4) LM_LICENSE_FILE (5) < > \flexlm\license.dat ( <host> <port> license.dat ) (6) Windows 2000 Windows XP (1) (2) (3) 2.13 (4) 2.13

47 2.13

48 2.14

49 (5) 2.14 (6) LM_LICENSE_FILE (7) < > \flexlm\license.dat ( <host> <port> license.dat ) (8) Quartus LM_LICENSE_FILE 2.12 Options License Setup Use LM_LICENSE_FILE variable

50 2.3 Quartus Quartus EDA MAX+PLUS Quartus Quartus Quartus (GUI) MAX+PLUS 2.15 Quartus

51 Quartus Analysis & Elaboration Quartus Integrated Analysis & Synthesis Quartus Quartus Quartus Quartus (.vo/.vho,.sdo) / (.sof/.pof) / 2.15 Quartus

52 2.16 Quartus (GUI)

53 Project Navigator Status Node Finder Messages Change Manager Tcl console 2.16 Quartus

54 1 Project Navigator Project Navigator Hierarchy MAX+PLUS (Hierarchy Display) Files Design Units 2 Status Status MAX+PLUS

55 3 Node Finder Node Finder MAX+PLUS Search Node Database 4 Message Message MAX+PLUS Quartus 5 Change Manager Change Manager Chip Editor

56 6 Tcl Console Tcl Console (GUI) Tcl Tcl MAX+PLUS View Utility Windows MAX+PLUS Quartus MAX+PLUS

57 (1) Tools Customize (2) Customize General Look & Feel MAX+PLUS 2.17

58 2.17 Customize

59 (3) Apply Quartus MAX+PLUS 2.18

60 2.18 Quartus MAX+PLUS

61 2.4 Quartus EDA EDIF VQM 2.19

62 Quartus Quartus Quartus Verilog VHDL AHDL MegaWizard Manager.bdf.tdf.vhd.vhdl.v.vlg.edif.edf Exemplar Synopsys Synplicity.bdf.gdf.bsf.sym.tdf.vhd.v.edf.edif.v,.vlg,.vhd,.vhdl,.vqm BlockSymbol Text Text Text Text Text Quartus 2.19

63 2.4.1 Quartus (New Project Wizard) EDA MAX+PLUS Quartus File Convert MAX+PLUS Project MAX+PLUS (.acf) Quartus Quartus Quartus

64 2.20 New Project Wizard EDA

65 MAX+PLUS 2.20 New Project Wizard

66 Assignments Settings EDA Quaruts Quartus Settings Files Settings 2.21

67 / / EDA 2.21 Settings

68 2.4.2 File New 2.22 New Device Design Files Block Diagram/Schmatic File OK 2.23

69 2.22

70 2.23 Quartus

71 Quartus (Block Editor) (Schematics) (Block Diagrams) Quartus (Block Design Files) MAX+PLUS (Graphic Design Files) Quartus MAX+PLUS 2.23 Quartus Block Editor

72 1 Quartus (LPM) (1) 2.23 Edit Insert Symbol 2.24 Symbol

73 2.24 Symbol

74 (megafunctions) MegaWizard Plug-In Manager (others) MAX+PLUS 74 (primitives) Altera /

75 (2) (+) Symbol OK Cancel 2.25

76 2.25

77 (3) 74 (2) (others) maxplus

78 2.26

79 (4) Symbol Symbol Symbol (5) ( inst1 ) Properties 2.27 General Ports Parameters Format

80 2.27

81 2 (Block Diagram) (Top-Down) ( ) ( ) (1) (2) Block Properties 2.28 I/Os 2.27

82 I/Os 2.28 dataa Add Existing Block I/Os reset clk datab ctrl1 addra addrb General Block_A

83 2.28

84 (3) (Node Line) (Bus Line) (Conduit Line) 2.29

85 2.29

86 (4) I/O (Conduit Properties) 2.30

87 2.30

88 (5) I/O Properties I/O 2.31 (Mapper) Properties General ( ) Mappings I/O Add View Show Mapper Tables

89 2.31 I/O

90 (6) (HDL).bdf Create Design File from Selected Block (AHDL VHDL Verilog HDL Schematic) 2.32 OK Quartus

91 2.32

92 Quartus Update Design File from Selected Block (Y) Quartus

93 3 MegaWizard Plug-In Manager MegaWizard Plug-In Manager Altera LPM(Library Parameterized Megafunction) MegaCore( FFT FIR ) AMMP(Altera Megafunction Partners Program PCI DDS ) MegaWizard Plug-In Manager

94 Tools MegaWizard Plug-In Manager Symbol ( 2.24) MegaWizard Plug-In Manager Quartus MegaWizard Plug-In Manager qmegawiz Quartus MegaWizard Plug-In Manager 2.2 MegaWizard Plug-In Manager

95 2.2 MegaWizard Plug-In Manager < >.bsf < >.cmp < >.inc < >.tdf < >.vhd < >.v < >_bb.v < >_inst.tdf < >_inst.vhd < >_inst.v VHDL ( ) AHDL ( ) AHDL VHDL Verilog HDL Verilog HDL ( Hollow body Black box) EDA AHDL ( ) VHDL ( ) Verilog HDL ( )

96 Quartus MegaWizard Plug-In Manager (1) Tools MegaWizard Plug-In Manager Symbol ( 2.24) MegaWizard Plug-In Manager 2.33

97 2.33 MegaWizard Plug-In Manager

98 (2) Next> 2.34

99 2.34 MegaWizard Plug-In Manager

100 (3) Next> Finish (3) Documentation Finish

101 (4) MegaWizard Plug-In Manager Symbol ( 2.24) (Megafunctions) 2.35 OK 2.36 ( View Show Parameter Assignments)

102 2.36 Ports (Unused Used) Unused Parameters I/O Quartus / - RAM

103 MegaWizard Plug-In Manager 2.35

104 2.36

105 4 (Block Symbol Files,.bsf) Quartus

106 (1) File Create/Update Create Symbol Files for Current File (.bsf) 2.37 (Y)

107 2.37

108 (2) Symbol ( 2.24 ) OK (3) Edit Selected Symbol Edit Selected Symbol 2.38

109 2.38

110 5 ( / ) / 1) (Node Line) (Bus Line) Quartus

111 2) (Input) (Output) (Bidir) Symbol (primitive) (pin) OK Symbol Ctrl

112 3) A0 A1 clk n A[n- 1..0] A pin_name

113 FIR

114 2.39 FIR

115 6 Tools Options Quartus Category Block/Symbol Editor 2.40

116 2.40

117 7 File Save As 2.41 Add file to current project

118 2.41 (Save As)

119 File New ( 2.22 ) Device Design Files AHDL File( Verilog HDL File VHDL File) OK AHDL Verilog HDL VHDL AHDL File Ahdl1.tdf Verilog HDL File Verilog1.v VHDL File Vhdl1.vhd 2.42 Edit

120 AHDL Verilog HDL VHDL / 2.42

121 2 (*) Quartus (1) (2) Insert Template

122 Quartus (AHDL VHDL Verilog HDL) (3) Template Section OK (4)

123 2.43

124 Category Text Editor 4 AHDL.tdf VHDL.vhd Verilog HDL.v

125 (1) File New Other Files Memory Initialization File(MIF) OK OK 2.44

126 Hex Mif 2.44

127 (2) 2.45 (3) 2.45

128 ASCII ASCII 2.45

129 View Cells Per Row ( ) Address Radix Binary( ) Hexadecimal( ) Octal( ) Decimal( ) Memory Radix Binary Hexadecimal Octal Signed Decimal( ) Unsigned Decimal( ) (4) Value (5).hex.mif

130 2 Altera MegaWizard Plug-In Manager RAM 8 (1) Tools MegaWizard Plug-In Manager Create a new custom megafunction variation Next

131 (2) storage LPM_RAM_DP LPM_RAM_DP+ RAM Altera Cyclone Cyclone Stratix GX altsyncram RAM LPM_RAM_DP+

132 2.46 RAM

133 (3) Next (4) With one read port and one write port As a number of words Next (5) Next (6) (Single clock) Next (7) 5 6 Next (8) 7.mif.hex 2.47

134 MIF HEX 2.47

135 (9) Finish RAM (10) Symbol Project RAM 2.48

136 2.48 Project RAM

137 3 (1) my_rom.mif (2) MegaWizard Plug-In Manager 2.46 LPM_ROM SinROM (3) MegaWizard Plug-In Manager

138 (4) MegaWizard Plug-In Manager 3 my_rom.mif (5) Finish ROM (6) ROM SinROM (7) MegaWizard Plug-In Manager LPM_ADD_SUB Adder

139 1 16 / Next 4 (pipeline) Finish (8) 10 ROM (9) 2.49

140 2.49 DDS

141 Quartus (Analysis & Synthesis) Analysis & Synthesis Quartus (Integrated Synthesis Support) VHDL(.vhd) Verilog(.v) Integrated Synthesis Quartus VHDL Verilog AHDL EDA VHDL Verilog HDL Quartus EDIF (.edf) VQM (.vqm)

142 Quartus Altera (.bdf) MAX+PLUS (.gdf) 2.50 quartus_map quartus_drc Quartus Tcl ( View Utility Windows Tcl Console) quartus_map (Analysis & Synthesis)

143 Quartus Analysis & Synthesis Verilog 1995 (IEEE ) Verilog 2001 (IEEE ) VHDL 1987(IEEE ) 1993(IEEE ) Analysis & Synthesis Verilog 2001 VHDL 1993 (.lmf) Quartus Quartus Assignments Settings Settings Verilog HDL Input VHDL Input

144 (.lmf) VHDL (.vhd) Verilog HDL (.v) AHDL (.tdf) (.bdf) Quartus Quartus Quartus quartus_map VHDL (.vhd) Fitter Verilog HDL (.v) (.rdb) HDL EDA EDA (.rpt.htm) EDIF (.edf) Quartus Verilog Quartus (.vqm) quartus_drc RTL 2.50 Quartus

145 2.5.2 Quartus 1 Quartus Ananlysis & Synthesis Quartus Tools Compiler Tool Quartus 2.51

146 Analysis & Synthesis Assembler EDA Analysis & Synthesis EDA EDA Assembler EDA Fitter Fitter Fitter 2.51 Quartus

147 (1) 2.51 Analysis & Synthesis (2) Processing Start Start Analysis & Synthesis (3) Quartus 2.52

148 Start Analysis & Synthesis 2.52

149 2 Quartus 2.53

150 (TDF BDF Verilog HDL VHDL VQM EDIF Netlist files) Analysis & Synthesis Fitter Assembler.vo.vho 2.53 Quartus

151 2.3 Quartus

152 2.3 Quartus Analysis & Synthesis quartus_map Fitter quartus_fit Timing Analyzer qartus_tan Assembler quartus_asm EDA Netlist Writer quartus_eda Fitter Quartus Analysis & Synthesis Analysis & Synthesis Fitter Programmer Object Files(.pof) SRAM Object Files(.sof) Hexadecimal (Intel-Format) Output Files(.hexout) Tabular Text Files(.ttf) Raw Binary Files(.rbf).pof.sof Quartus MasterBlaster ByteBlaster.hexout,.ttf.rbf Altera Assembler Fitter EDA EDA Netlist Writer Analysis & Synthesis Fitter Timing Analyzer

153 2.5.3 Quartus Analysis & Synthesis Fitter Quartus Settings Settings 2.54

154 EDA 2.54 Settings Device

155 (1) Assignments Settings (2) Hierarchy Settings (3) Quartus 1

156 (1) Settings Category Device Assignments Device Settings Device 2.54 (2) Family Stratix (3) Available devices Auto device selected by the Fitter from the 'Available devices' list (4) Show in 'Available devices' list

157 2 (1) Settings Category Compilation Process 2.55

158 2.55 Settings Compilation Process

159 (2) Use Smart compilation (3) Preserve fewer node names to save disk space (4) VQM 3 Analysis & Synthesis Analysis & Synthesis (1) Settings Category Analysis & Synthesis Settings 2.56

160 2.56 Settings Analysis & Synthesis Setting

161 (2) Optimization Technique Speed f MAX Area Balanced (3) Analysis & Synthesis Settings Category VHDL Input Verilog HDL Input VHDL Verilog HDL Quartus (.lmf)

162 (4) EDIF (.edf) Verilog Quartus (.vqm) Quartus Category Synthesis Netlist Optimizations Perform WYSIWYG Primitive Resynthesis Perform Gate- Level Register Retiming Perform WYSIWYG Primitive Resynthesis Quartus (Atom Netlist) (Un-map) (Re-map) Altera Quartus 2.57 APEX Cyclone Cyclone MAX Stratix Stratix GX

163 Atom Netlist LE LE LE Un-map Re-map LE LE Place & Route 2.57 Perform WYSIWYG Primitive Resynthesis Quartus

164 Perform Gate-Level Register Retiming Quartus 2.58 APEX Cyclone Cyclone MAX Stratix Stratix Stratix GX Perform WYSIWYG Primitive Resynthesis

165 D Q 10ns D Q 5ns D Q Gate-Level Registe Retiming D Q 7ns D Q 8ns D Q 2.58 Perform Gate-Level Register Retiming

166 4 Fitter( ) (1) Settings Category Fitting Settings 2.59

167 2.59 Settings Fitter Setting

168 (2) (Timing-driven compilation) Optimize timing Optimize hold timing Optimize I/O cell register placement for timing IO Paths and Minimum TPD Paths Timing-driven compilation Quartus Fitter effort Standard Fit Fast Fit Auto Fit Quartus

169 (3) Physical Synthesis Optimizations Physical Synthesis Optimizations MAX Stratix Stratix GX Cyclone Settings Category Fitter Settings Physical Synthesis Optimizations Physical Synthesis Optimizations 2.60

170 2.60 Settings Physical Synthesis Optimizations

171 (Perform physical synthesis for combinational logic) Quartus (LUT) 2.61

172 a c LUT d a e c d LUT e f g LUT f LUT g 2.61

173 2.61 Quartus DSP I/O (Perform register duplication) Quartus 2.62

174 1 LE 1 LE LE LE LE 2 LE LE 2 LE LE 2.62

175 (Perform register retiming fitter) Quartus Perform Gate-Level Register Retiming (4) Physical synthesis effort Normal Extra Fast Normal Extra Fast Normal

176 2.5.4 Quartus 1) (Assignment Editor) (1) Assignments Assignment Editor (Category) Locations pin Assignments Pins 2.63

177 2.63 Assignment Editor

178 (2) Assignment Editor To CLK (3) Location (4) Assignment Editor (5) Processing Start Start I/O Assignment Analysis I/O OK

179 2) (Floorplan Editor) (1) Assignments Timing Closure Floorplan (Timing Closure) Timing Closure View Package Top Package Bottom Interior LABs Interior Cells (2) Node Finder View Utility Windows Node Finder Node Finder

180 (3) Node Finder Named * Filter Pins: all Pins: unassigned List Nodes Found 2.64 (4) Nodes Found Timing Closure Floorplan (GDF) (BDF) (5) Processing Start Start I/O Assignment Analysis I/O OK

181 2.64 Floorplan Editor Node Finder

182 I/O Processing Start Start I/O Assignment Analysis Tcl quartus_fit < > --check_ios I/O Start I/O Assignment Analysis (.pin) Processing Compilation Report Compilation Report Fitter

183 I/O (Analyze I/O Assignment Summary) (Floorplan View) (Pin-Out File) (Resource Section) (Fitter Messages)

184 Start I/O Assignment Analysis Start I/O Assignment Analysis Start I/O Assignment Analysis Assignments Back-Annotate Assignments... Pin & device assignments 2.65 QSF

185 2.65 Start I/O Assignment Analysis

186 2.5.5 Quartus 2.51 Processing Start Compilation (1) Processing Start Compilation 2.66

187 (2) Locate in Design File Help (3) 2.66

188 2.67

189 2.66

190 2.67

191 2.5.6 ( ) (Floorplan Editor) Quartus (1) (Timing Closure Floorplan) LogicLock( ) (2) (Last Compilation Floorplan)

192 (Interior Logic Cells) (Interior LABs) (Field View) (Top View) (Bottom View) 1 1) ( 2.67 ) Fitter Fitter Floorplan View (Interior Logic Cells) View Color Legend Window 2.68

193 (LAB) (LE) 2.68

194 2) View Routing Show Node Fan-Out View Routing Show Node Fan-In 2.69 View Routing Show Node Fan-In Show Node Fan-Out

195 3) View Equations 2.69

196 2.69

197 4) (Field View) Field View Field View 2.70

198 DSP M4K M512 I/O M-RAM 2.70 Field

199 View Interior Cells 2 (Timing Closure Floorplan) Quartus 1) Assignments Timing Closure Floorplan

200 Quartus 2.71

201 Quartus Quartus LogicLock 2.71

202 2) LogicLock LogicLock View MegaLAB LAB (Field View)

203 3) View Routing (1) (Show Paths between Nodes ) I/O (2) (Show Node Fan-In Show Node Fan-Out ) I/O

204 (3) (Show Routing Delays ) I/O (4) (Show Connection Count ) (5) (Show Physical Timing Estimate ) (Potential Destination Resources) ( ) Field

205 (6) (Show Routing Congestion ) ( ) Routing Congestion Setting (7) (Show Critical Paths ) Critical Path Settings (Slack)

206 (8) LogicLock (Show LogicLock Regions Connectivity ) LogicLock LogicLock 4) LogicLock MegaLAB LAB

207 (1) (2) (Assignment Editor) (3) Node Finder (4) LogicLock (5) (Project Navigator) Hierarchy LogicLock

208 (1) File New (2) Other Files Vector Waveform File OK 2.72

209 2.72 Quartus

210 (3) 1 s Edit End Time s ms(10 3 s) s(10 6 s) ns(10 9 s) ps(10 12 s) OK (4) File Save As ( ) *.vwf Add file to current project

211 2 VWF (1) View Utility Windows Node Finder Node Finder 2.73 Name Insert Node or Bus Insert Node or Bus Node Finder

212 (2) Node Finder Filter Pins:all Named * List Nodes Found (3) Nodes Found Name Shift Ctrl (4) Node Finder

213 2.73

214 3 1) ( clk) Value Clock Timing Setting Clock setting 2.74

215 2.74

216 2) ( d) Value Count Value Value Arbitrary Value 3) Value 2.75

217 2.75

218 Edit Value 2.76 File Save

219 2.76

220 Assignments Settings Settings Category Simulator 2.77

221 2.77

222 2 Functional Processing Generate Functional Simulation Netlist Timing 3 Processing Start Simulation

223 Quartus (Simulator Tool) Quartus MAX+PLUS Tools Simulator Tool 2.78

224 2.78 Quartus

225 ) (1) Processing Simulation Report (2) 2.78 Report

226 2) Simulator Simulation Waveforms 2.79

227 2.79

228 2 (1) (2) (3) Insert Time Bar

229 (4) Properties (5) Properties (Radix) (6) Edit Grid Size (7) View Compare to Waveforms in File

230 VWF VWF VWF

231 2.80

232

233 2.4 (t SU ) (t H ) f MAX ( ) t SU ( ) t H ( ) t CO ( ) t PD ( ) t CO t PD

234 2.7.2 Settings ( ) 1 Assignments Wizards Timing Wizard 2.81 f MAX

235 2.81 1

236 Next 2.82 Next 2.82 Finish

237

238 2 Settings Assignments Timing Settings Settings Timing Requirements & Options 2.83

239 2.83 Settings Timing Requirements & Options

240 2.83 Settings for individual clock signals Clocks 2.84 New Clock settings Applies to node Node Finder (Relationship to other clock settings) Independent of other clock settings Required Duty Cycle

241 2.84

242 2.84 Based on Drived Clock Requirements 2.85

243 2.85

244 3 (Assignment Editor)

245 2.86

246 2.86 (1) Assignments Assignment Editor (2) Category Timing (3) To ( clk* f?) To To Node Finder To To Select Time Group

247 Assignments Time Groups (4) (3) From (5) Assignment Name (6) Value (7) File Save

248 2.7.3 (1) Processing Start Start Timing Analyzer (2) Processing Start Start Minimum Timing Analysis

249 (3) Tools Timing Analyzer Tool 2.87 tpd(t PD ) tsu(t SU ) tco(t CO ) th(t H )

250 2.87 Quartus

251 2.7.4 Timing Analyzer Locate in Assignment Editor List Paths Locate in Timing Closure Floorplan 2.88

252 2.88

253 2.8 Quartus Altera Quartus Assembler (.pof) SRAM (.sof) Quartus (Programmer)

254 Altera MasterBlaster ByteBlasterMV(ByteBlaster MultiVolt) ByteBlaster USB-Blaster Ethernet Blaster Altera (APU) ByteBlasterMV MasterBlaster ByterBlasterMV MasterBlaster USB USB-Blaster Ethernet Blaster ByterBlaster ByteBlaster MasterBlaster USB-Blaster USB Ethernet Blaster Ethernet ByteBlaster

255 Quartus (.cdf) CDF Quartus (Passive Serial mode) JTAG (Active Serial Programming mode) (In-Socket Programming mode)

256 JTAG EPCS1 EPCS4 Altera (APU) CPLD Quaruts (1) Tools Programmer < >.cdf 2.89

257 2.89

258 (2) File Save As CDF 2 (1) Mode Passive Serial (2) Hardware Setup 2.90

259 2.90

260 (3) Add Hardware Add Hardware 2.90 (4) Add Hardware type ByteBlasterMV or ByteBlaster MasterBlaster OK Hardware Setup (5) Hardware Setup Available hardware items Select Hardware Close 2.91 (6) File Save CDF Add File

261 2.91

262 3 (1) MasterBlaster MasterBlaster RS-232 RS-232 USB USB ByteBlasterMV ByteBlasterMV DB25-to- DB25 (2) Start OK

263 4 SRAM (.sof) JTAG JTAG Program/Configure Mode JTAG 5 JTAG Examine (.pof)

264 JTAG (1) JTAG CDF (2) Add Device Select Devices 2.92

265 2.92 JTAG

266 (3) Device family (4) Device name OK (5) File Save CDF Altera Windows 2000

267 (1) (2) / (3) / / (4) / (5)

268 (6) (7) Altera <Quartus >\drivers\win2000\win2000.inf 2.93 (8) Altera ByteBlaster (9) Altera ByteBlaster 2.94

269 < Quartus >\drivers\win2000\ 2.93

270 2.94

271 2.90

272 1 Quartus MAX+PLUS 2 Quartus 3 MAX+PLUS Quartus 4 Quartus

273 5 6 7 Quartus

274 EN 1 Hz CLR

275 (1) (2) ( AHDL) (3) (4) (5) (6)

276

277 Gate (a) Gate (b) 2.96

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT 作 者 : 楊 哲 彰 (2005-02-23); 推 薦 : 徐 業 良 (2005-02-28) 附 註 : 本 文 為 元 智 大 學 機 械 系 自 動 化 機 械 設 計 課 程 教 材 Protel 電 腦 輔 助 電 路 設 計 軟 體 入 門 (2) PCB 製 作 與 輸 出 在 先 前 的 文 件 中, 介 紹 了 Protel 99 SE 的 基 本 操 作, 並 且 以 穩

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

PROFIBUS3.doc

PROFIBUS3.doc PLC PLC ProfiBus 3. PROFIBUS-DP PROFIBUS-DP PROFIBUS-DP PROFIBUS S7 STEP7 SIMATIC NET S5 COM PROFIBUS COM5431 PROFIBUS-DP GSD GSD *.GSD *. GSE GSD S7 STEP7 PROFIBUS DP S7-400 CPU416-2DP S7-200 PROFIBUS

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

IBM Rational ClearQuest Client for Eclipse 1/ IBM Rational ClearQuest Client for Ecl

IBM Rational ClearQuest Client for Eclipse   1/ IBM Rational ClearQuest Client for Ecl 1/39 Balaji Krish,, IBM Nam LeIBM 2005 4 15 IBM Rational ClearQuest ClearQuest Eclipse Rational ClearQuest / Eclipse Clien Rational ClearQuest Rational ClearQuest Windows Web Rational ClearQuest Client

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

Windows 2000 Server for T100

Windows 2000 Server for T100 2 1 Windows 95/98 Windows 2000 3.5 Windows NT Server 4.0 2 Windows DOS 3.5 T200 2002 RAID RAID RAID 5.1 Windows 2000 Server T200 2002 Windows 2000 Server Windows 2000 Server Windows 2000 Server 3.5 for

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( Nvidia nforce430)

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

CA-C750К

CA-C750К 1 3 3 4 PC 4 USB 5 5 6 8 9 11 mediasync Manager?...13 mediasync Manager 15 25 38 39 41 41 DRM...44 Image Manager...44 47 49 49 50 50 51 51 51 52 / 52 A-B 53 MP3 53 /FM 54 FM 55 FM 55 BMP56 56 57 57 58

More information

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se 1 SQL Server 2005 DBA Microsoft SQL Server SQL ServerSQL Server SQL Server SQL Server SQL Server SQL Server 2005 SQL Server 2005 SQL Server 2005 o o o SQL Server 2005 1 SQL Server 2005... 3 2 SQL Server

More information

ansoft_setup21.doc

ansoft_setup21.doc Cadence Cadence Cadence 1000 (1) (2) CIC (3).. CIC Cadence (a) CIC license license server license CIC license CIC license (b) 2000 Cadence license 92 1 1 license server CIC 92 1 1 Cadence license licenser

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

SL2511 SR Plus 操作手冊_單面.doc

SL2511 SR Plus 操作手冊_單面.doc IEEE 802.11b SL-2511 SR Plus SENAO INTERNATIONAL CO., LTD www.senao.com - 1 - - 2 - .5 1-1...5 1-2...6 1-3...6 1-4...7.9 2-1...9 2-2 IE...11 SL-2511 SR Plus....13 3-1...13 3-2...14 3-3...15 3-4...16-3

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Micro

Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Micro Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( nvidia

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

untitled

untitled Sansa Fuze TM MP3 1-866-SANDISK (726-3475) www.sandisk.com/techsupport www.sandisk.com/sansa Fuze-8UM-CHS ... 3... 4 Sansa Fuze TM... 6... 6... 7... 7 Sansa Fuze... 7... 8... 9... 9... 10... 11... 11...

More information

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP Serial ATA ( Sil3132)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 10 (5) S A T A... 12 Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 24-1 - 1....3 1.1.... 3 1.2.... 3 2....4 2.1.... 4 2.2.... 5 2.3.... 6 3....8 3.1. Windows 98/98SE Windows ME Windows XP 8 3.1.1....8

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

自动化接口

自动化接口 基 于 文 件 的 数 据 交 换 的 注 意 事 项 1 SPI 2 COMOS Automation 操 作 手 册 通 用 Excel 导 入 3 通 过 OPC 客 户 端 的 过 程 可 视 化 4 SIMIT 5 GSD 6 05/2016 V 10.2 A5E37093378-AA 法 律 资 讯 警 告 提 示 系 统 为 了 您 的 人 身 安 全 以 及 避 免 财 产 损 失,

More information

ebook111-4

ebook111-4 Flash 4 Flash 4 F l a s h 5 Flash 4 Flash Flash 4 Flash 4 Flash 4 4.1 Flash 4 Flash 4 Flash 4 Flash Flash 4 Flash 4 4.2 Flash 4 Flash 4 A Flash 4 S h i f t F i l e P r e f e r e n c e s > > Flash 4 Flash

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

IT (1) IDE... 2 (2) BIOS IDE RAID... 3 (3) RAID BIOS RAID... 5 (4) R A I D (5) ID E RA ID... 15

IT (1) IDE... 2 (2) BIOS IDE RAID... 3 (3) RAID BIOS RAID... 5 (4) R A I D (5) ID E RA ID... 15 IT8212...2 (1) IDE... 2 (2) BIOS IDE RAID... 3 (3) RAID BIOS RAID... 5 (4) R A I D... 13 (5) ID E RA ID... 15 Ác Åé å IT8212 (1) IDE (2) BIOS IDE RAID (3) RAID BIOS RAID (4) RAID (5) RAID (a) ( )IDE (

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

晶体结构立体模型建构软件-Diamond的使用

晶体结构立体模型建构软件-Diamond的使用 -Diamond E-mail: wupingwei@mail.ouc.edu.cn -Diamond Diamond NaCl NaCl NaCl Fm-3m(225) a=5.64å Na:4a, Cl:4b 1 2 3 4 5 6 File New OK Diamond1 New Structure Crystal Structure with cell and Spacegroup Cell

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

CHN_p000A_Cover.ai

CHN_p000A_Cover.ai NextoDI Co., Ltd. www.nextodi.com 列表........................................................................ 1 3 4 4 6 7 8 9 10 12 14 16 20 01 02 03 04 1 2 3 5 6 4 XCopy Ready B Menu Menu Off Off 05 06

More information

Text 文字输入功能 , 使用者可自行定义文字 高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。

Text 文字输入功能 , 使用者可自行定义文字  高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。 GerbTool Wise Software Solution, Inc. File New OPEN CLOSE Merge SAVE SAVE AS Page Setup Print Print PreView Print setup (,, IMPORT Gerber Wizard Gerber,Aperture Gerber Gerber, RS-274-D, RS-274-X, Fire9000

More information

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品 DDJ-SP http://pioneerdj.com/support/ http://serato.com/ 目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中,

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

coverage2.ppt

coverage2.ppt Satellite Tool Kit STK/Coverage STK 82 0715 010-68745117 1 Coverage Definition Figure of Merit 2 STK Basic Grid Assets Interval Description 3 Grid Global Latitude Bounds Longitude Lines Custom Regions

More information

c-AR64SH-102

c-AR64SH-102 Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD GBT ( ) GBT GBT, GBT 2002 3 15 1 1 11 3 12 3 2 21 4 22 5 23 6 3 31 Win 98/ 98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 14 315 14 316 18 32 Windows NT 40

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 13-1 - 1.... 3 1.1....3 1.2. GV-R98P256D...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Windows 98/98SEWindows MEWindows XP...8

More information

8idml_20_1_q

8idml_20_1_q Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD GBT ( ) GBT GBT, GBT 2002 3 15 1 1 11 3 12 AP64D(-H) 3 2 21 4 22 5 23 6 3 31 Win 98/98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 14 315 14 316 18 32 Windows

More information

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc 目 录 第 一 章 关 于 InoTouch Editor 编 程 软 件 的 安 装... - 6-1.1 InoTouch 系 列 HMI 和 InoTouch Editor 软 件 的 简 介... - 6-1.2 安 装 InoTouch Editor 编 程 软 件... - 10-1.3 系 统 连 接 图... - 12-1.4 InoTouch 系 列 人 机 界 面 的 系 统 设

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 20-1 - 1.... 3 1.1....3 1.2. GV-R96P128D...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Windows 98/98SEWindows MEWindows XP...8

More information

ch08.PDF

ch08.PDF 8-1 CCNA 8.1 CLI 8.1.1 8-2 8-3 8.1.21600 2500 1600 2500 / IOS 8-4 8.2 8.2.1 A 5 IP CLI 1600 2500 8-5 8.1.2-15 Windows 9598NT 2000 HyperTerminal Hilgraeve Microsoft Cisco HyperTerminal Private Edition (PE)

More information

Microsoft Word - 3D手册2.doc

Microsoft Word - 3D手册2.doc 第 一 章 BLOCK 前 处 理 本 章 纲 要 : 1. BLOCK 前 处 理 1.1. 创 建 新 作 业 1.2. 设 定 模 拟 控 制 参 数 1.3. 输 入 对 象 数 据 1.4. 视 图 操 作 1.5. 选 择 点 1.6. 其 他 显 示 窗 口 图 标 钮 1.7. 保 存 作 业 1.8. 退 出 DEFORMTM3D 1 1. BLOCK 前 处 理 1.1. 创 建

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 11 6-1 - 1....3 1.1.... 3 1.2.... 3 2....4 2.1.... 4 2.2.... 5 2.3.... 6 3....8 3.1. Win XP... 8 3.1.1....8 3.1.2. DirectX...9 3.1.3.... 11 3.1.4....15

More information

User’s Manual

User’s Manual V7 用 户 手 册 亿 图 为 您 专 业 图 表 设 计 提 供 最 佳 解 决 方 案 2004-2014 EdrawSoft. All right reserved. Edraw and Edraw logo are registered trademarks of EdrawSoft. 目 录 亿 图 怎 样 优 越 于 其 他 软 件... 5 亿 图 7 个 新 功 能... 6 为

More information

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG --------------------------------------------TABLE OF CONTENTS------------------------------------------

More information

(Microsoft Word - PK254P\262\331\327\366\312\326\262\341.doc)

(Microsoft Word - PK254P\262\331\327\366\312\326\262\341.doc) PROKIN 3.0 软 件 操 作 手 册 TecnoBody S.r.l. Published: Luglio 2006 Code-Version: 1 目 录 第 一 节... 7 介 绍... 7 手 册 中 使 用 的 安 全 标 志 和 符 号... 8 概 述... 10 安 全 规 则... 11 PROKIN 系 统 安 装... 11 系 统 组 成... 13 系 统 安 装

More information

LSI U320 SCSI卡用户手册.doc

LSI U320 SCSI卡用户手册.doc V1.0 Ultra320 SCSI SCSI 2004 7 PentiumIntel MS-DOS Windows Novell Netware Novell Sco Unix Santa Cruz Operation LSI U320 SCSI SCSI SCSI Integrated Mirroring/Integrated Striping BIOS Firmware LSI U320 SCSI

More information

确定生理液总的同渗重摩

确定生理液总的同渗重摩 V7109 07/2001 K-7000.. 4 K-7000... 5 K-7000... 5 5 5 6 7 7 7 7 K-7000... 8 K-7000.. 8 K-7000.. 8 K-7000.. 9 9 9 10 10 10 11 11 11 13 13 13 13 13 14 14 15 15 15 15 400 mosmol/kg NaCl... 17 17 18 M< 500

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2004 5 31-1 - 1.... 3 1.1....3 1.2. GV-R80P256D/GV-R80P256V...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Win XP...8 3.1.1....8 3.1.2.

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 5-1 - 1....3 1.1....3 1.2. GV-R9200...3 2....4 2.1....4 2.2....5 2.3....7 3....9 3.1. Windows 98/98SE Windows ME Windows XP 9 3.1.1....

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

ebook 185-6

ebook 185-6 6 Red Hat Linux DB2 Universal Database 6.1 D B 2 Red Hat D B 2 Control Center D B 2 D B 2 D B 2 6.1 DB2 Universal Database [DB2]6.1 D B 2 O LT P O L A P D B 2 I B M P C We e k D B 2 D B 2 L i n u x Windows

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information