Vivado 设计流程手册 Vivado 设计流程指导手册 Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式, 一般简单设计中, 我们常用的是 Project Mode 在本手册中, 我们将以一个简单的实验案例, 一步一步的完成 Viva

Size: px
Start display at page:

Download "Vivado 设计流程手册 Vivado 设计流程指导手册 Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式, 一般简单设计中, 我们常用的是 Project Mode 在本手册中, 我们将以一个简单的实验案例, 一步一步的完成 Viva"

Transcription

1 Vivado 设计流程指导手册 Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式, 一般简单设计中, 我们常用的是 Project Mode 在本手册中, 我们将以一个简单的实验案例, 一步一步的完成 Vivado 的整个设计流程 一 新建工程 1 打开 Vivado 开发工具, 可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 下的 Vivado 打开软件, 开启后, 软件如下所示 : 2 单击上述界面中 Create New Project 图标, 弹出新建工程向导, 点击 Next - 1 / 34

2 3 输入工程名称 选择工程存储路径, 并勾选 Create project subdirectory 选项, 为工程在指定存储路径下建立独立的文件夹 设置完成后, 点击 Next 注意 : 工程名称和存储路径中不能出现中文和空格, 建议工程名称以字母 数字 下划线来组成 4 选择 RTL Project 一项, 并勾选 Do not specify sources at this time, 勾选该选项是为了跳过 在新建工程的过程中添加设计源文件 点击 Next 5 根据使用的 FPGA 开发平台, 选择对应的 FPGA 目标器件 ( 在本手册中, 以 Xilinx 官方开 2 / 34

3 发板 KC705 为例,Nexys4 开发板请选择 Artix-7 XC7A100TCSG324-2 的器件, 即 Family 和 Subfamily 均为 Artix-7, 封装形式 (Package) 为 CSG324, 速度等级 (Speed grade) 为 -1, 温度等级 (Temp Grade) 为 C) 点击 Next 6 确认相关信息与设计所用的的 FPGA 器件信息是否一致, 一致请点击 Finish, 不一致, 请 返回上一步修改 7 得到如下的空白 Vivado 工程界面, 完成空白工程新建 3 / 34

4 二 设计文件输入 1 如下图所示, 点击 Flow Navigator 下的 Project Manager->Add Sources 或中间 Sources 中的 对话框打开设计文件导入添加对话框 2 选择第二项 Add or Create Design Sources, 用来添加或新建 Verilog 或 VHDL 源文件, 点击 Next 4 / 34

5 3 如果有现有的 V/VHD 文件, 可以通过 Add Files 一项添加 在这里, 我们要新建文件, 所 以选择 Create File 一项 4 在 Create Source File 中输入 File Name, 点击 OK 注 : 名称中不可出现中文和空格 5 / 34

6 5 点击 Finish 6 在弹出的 Define Module 中的 I/O Port Definition, 输入设计模块所需的端口, 并设置端口 防线, 如果端口为总线型, 勾选 Bus 选项, 并通过 MSB 和 LSB 确定总线宽度 完成后点击 OK 6 / 34

7 7 新建的设计文件 ( 此处为 flowing_light.v) 即存在于 Sources 中的 Design Sources 中 双击 打开该文件, 输入相应的设计代码 `timescale 1ns / 1ps module flowing_light( 7 / 34

8 input clk, input rst, output [3:0] led ); reg [23 : 0] cnt_reg; reg [ 3 : 0] light_reg; (posedge clk) begin if (rst) cnt_reg <= 0; else cnt_reg <= cnt_reg + 1; end (posedge clk) begin if (rst) light_reg <= 4'b0001; else if (cnt_reg == 24'hffffff) begin if (light_reg == 4'b1000) light_reg <= 4'b0001; else light_reg <= light_reg << 1; end end assign led = light_reg; endmodule 8 添加约束文件, 有两种方法可以添加约束文件, 一是可利用 Vivado 中 IO planning 功能, 二是可以直接新建 XDC 的约束文件, 手动输入约束命令 a 先来看第一种方法, 利用 IO planning a.8.1 点击 Flow Navigator 中 Synthesis 中的 Run Synthesis, 先对工程进行综合 8 / 34

9 a.8.2 综合完成之后, 选择 Open Synthesized Design, 打开综合结果 9 / 34

10 a.8.3 此时应看到如下界面, 如果没出现如下界面, 在图示位置的 layout 中选择 IO planning 一项 a.8.4 在右下方的选项卡中切换到 I/O ports 一栏, 并在对应的信号后, 输入对应的 FPGA 管 脚标号 ( 或将信号拖拽到右上方 Package 图中对应的管脚上 ), 并指定 I/O std ( 具体的 FPGA 约束管脚和 IO 电平标准, 可参考对应板卡的用户手册或原理图 ) a.8.5 完成之后, 点击左上方工具栏中的保存按钮, 工程提示新建 XDC 文件或选择工程中 已有的 XDC 文件 在这里, 我们要 Create a new file, 输入 File name, 点击 OK 完成约束过 程 10 / 34

11 a.8.6 此时, 在 Sources 下 Constraints 中会找到新建的 XDC 文件 b 如何利用第二种方法添加约束文件 b.8.1 点击 Add Sources, 选择第一项 Add or Create Constraints 一项, 点击 Next 11 / 34

12 b.8.2 点击 Create File, 新建一个 XDC 文件, 输入 XDC 文件名, 点击 OK 点击 Finish 12 / 34

13 b.8.3 双击打开新建好的 XDC 文件, 并按照如下规则, 输入相应的 FPGA 管脚约束信息和电 平标准 set_property PACKAGE_PIN L16 [get_ports clk] set_property PACKAGE_PIN G15 [get_ports rst] set_property PACKAGE_PIN M14 [get_ports {led[0]}] set_property PACKAGE_PIN M15 [get_ports {led[1]}] set_property PACKAGE_PIN G14 [get_ports {led[2]}] set_property PACKAGE_PIN D18 [get_ports {led[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports rst] 13 / 34

14 三 工程实现 1 在 Flow Navigator 中点击 Program and Debug 下的 Generate Bitstream 选项, 工程会自动完成综合 实现 Bit 文件生成过程, 完成之后, 可点击 Open Implemented Design 来查看工程实现结果 2 点击 Flow Navigator 中 Open Hardware Manager 一项, 进入硬件编程管理界面 3 在提示的信息中, 选择 Open a new hardware target( 或在 Flow Navigator 中展开 Hardware Manager, 点击 Open New Target), 14 / 34

15 4 在弹出的 Open hardware target 向导中, 先点击 Next, 进入 Server 选择向导 5 保持默认的 Server name 为 localhost:60001, 如无默认, 在下拉框中选择即可 连接好 板卡的 PROG 端口, 并上电 点击 Next 15 / 34

16 6 依次点击 Next Next Finish 完成新建 Hardware Target 16 / 34

17 7 此时,Hardware 一栏中出现硬件平台上可编程的器件 ( 此处已 Zynq-7000 为例, 故出现 17 / 34

18 两个器件, 如果是纯 FPGA 的平台, 该处只有一个器件 ) 在对应的 FPGA 器件上右击, 选择 Assign Programming File, 指定所需的 bit 文件 ( 系统默认已存在该工程的 bit 文件, 如不需更改, 可跳过该步骤 ) 8 在 FPGA 器件上右击选择 Program Device 或在 Flow Navigator 下的 Hardware Manager 中点 击 Program Device 一项 18 / 34

19 9 点击 OK, 将 bit 文件下载到板卡上的 FPGA 中, 此时 Hardware 对应的 FPGA 状态即变为 Programmed 19 / 34

20 10 设计完成 20 / 34

21 附一 : 利用 Vivado 进行功能仿真 1 创建激励测试文件, 在 Source 中右击选择 Add source 2 在 Add Source 界面中选择第三项 Add or Create Simulation Sources, 点击 Next 3 选择 Create File, 创建一个新的激励测试文件 21 / 34

22 4 输入激励测试文件名, 点击 OK, 然后点击 Finish, 弹出 module 端口定义对话框, 由于 此处是激励测试文件, 不需要有对外的接口, 所以, 此处为空 点击 OK, 空白的激励测试 文件就建好了 22 / 34

23 5 在 Source 下双击打开空白的激励测试文件, 完成对将要仿真的 module 的实例化和激励 代码的编写, 如下图和下述代码所示 23 / 34

24 `timescale 1ns / 1ps module test_flowing_light( ); reg clk; reg rst; wire [3 : 0] led; flowing_light u0(.clk(clk),.rst(rst),.led(led) ); parameter PERIOD = 10; always begin clk = 1'b0; #(PERIOD/2) clk = 1'b1; #(PERIOD/2); end initial begin clk = 1'b0; rst = 1'b0; #100; rst = 1'b1; #100; rst = 1'b0; end endmodule 24 / 34

25 激励文件完成之后, 工程目录如下图所示 6 此时, 进入仿真 在左侧 Flow Navigator 中点击 Simulation 下的 Run Simulation 选项, 并 选择 Run Behavioral Simulation 一项, 进入仿真界面 7 下图所示为仿真界面 25 / 34

26 可通过左侧 Scope 一栏中的目录结构定位到设计者想要查看的 module 内部寄存器, 在 Objects 对应的信号名称上右击选择 Add To Wave Window, 将信号加入波形图中 可通过选择工具栏中的如下选项来进行波形的仿真时间控制 如下工具条, 分别是复位波形 ( 即清空现有波形 ) 运行仿真 运行特定时长的仿真 仿真时长设置 仿真时长单位 单 步运行 暂停 8 最终得到的仿真效果图如下 核对波形与预设的逻辑功能是否一致 仿真完成 后续补充利用 Vivado 进行 Debug 的过程 26 / 34

27 附二 :Vivado 安装简介 ( 以 Vivado 为例 ) Vivado 软件支持的操作系统 : Microsoft Windows Support Windows XP Professional (32-bit and 64-bit), English/Japanese Windows 7 and 7 SP1 Professional (32-bit and 64-bit), English/Japanese Windows 8.1 Professional (64-bit), English/Japanese Linux Support Red Hat Enterprise Workstation (32-bit and 64-bit) Red Hat Enterprise Workstation (32-bit and 64-bit) SUSE Linux Enterprise (32-bit and 64-bit) Cent OS 6.4 and 6.5 (64-bit) 建议安装内存 : 大于 4GB; 建议硬盘空间 : 大于 20GB 注意 : 由于 Vivado 版本不同, 安装时界面可能不一致, 具体参考相应版本的 user guide 1 在 下载所需的 Vivado 版本,Windows 版本 Linux 版本和双系统版本 下载后解压到不含中文和空格的路径中 Windows 下可直接使用解压工具 ( 如 360 压缩 WinRAR 等 ) 进行解压 Linux 下可使用 tar xzvf Xilinx_Vivado_SDK_Win_2014.2_0612_1.tar.gz 命令解压 27 / 34

28 2 Windows 下直接双击 xsetup.exe 安装 Linux 下需要先打开安装包文件夹的读写权限, 然后安装, 如下 : sudo chmod 777 /path to the software floder/xilinx_vivado_sdk_win_2014.2_0612_1 sudo cd /path to the software floder/xilinx_vivado_sdk_win_2014.2_0612_1./xsetup 执行后进入下述安装向导, 点击 Next 28 / 34

29 2 勾选所有 I Agree 选项 点击 Next 29 / 34

30 3 选择 Vivado 安装版本, 对于初学者, 可以选择第一项或第二项, 第一项 Vivado Webpack 支持器件和功能受限, 但不需要再行安装 License, 第二项 Vivado Design Edition, 安装完成后还需要安装 License 后才可使用 点击 Next 4 选择 Vivado 工具组件和器件库 对于初学者, 如下几项是必须安装的 点击 Next 30 / 34

31 5 设置安装路径 系统默认安装路径为 C:\Xilinx(Linux 下为 /opt/xilinx), 如需更改, 点击 浏览, 但是安装路径中不要出现中文和空格 点击 Next 31 / 34

32 6 点击 Install 进入工具安装过程 7 安装时间视 PC 性能而定,10min~30min 不等, 安装过程中, 弹出的附属工具 / 软件一律 允许安装 32 / 34

33 8 等待安装完成, 弹出完成提示 点击 确定 9 弹出 License 管理软件, 在左侧选择 Load License, 并在右侧点击 Copy License 一项, 选 择到准备好的 license 文件 (Vivado Webpack 无此步 ) 10 Windows 系统下安装到此全部完成,Vivado 软件可正常使用 Linux 下需要添加环境变量, 打开 root 根目录的.bashrc 添加如下代码 ( 建议不在 user 用户下添加, 否者有些 linux 会有图形化界面冲突, 桌面无法正常加载 ) ( 或者每次使用 Vivado 软件的时候打开 terminal, 先运行一下下面的命令 ) source /opt/xilinx/vivado/2014.2/settings32.sh 或 source /opt/xilinx/vivado/2014.2/settings64.sh 33 / 34

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

ソフトウェア説明書 CA Introscope 9 (9.5)

ソフトウェア説明書 CA Introscope 9 (9.5) CA Introscope Windows Vista Windows 7 Windows Windows XP Red Hat Enterprise Linux 5 Microsoft Windows Server 20 Microsoft Windows Server 20 Microsoft Windows Server 20 Microsoft Windows Server 2008

More information

Windows 2000 Server for T100

Windows 2000 Server for T100 2 1 Windows 95/98 Windows 2000 3.5 Windows NT Server 4.0 2 Windows DOS 3.5 T200 2002 RAID RAID RAID 5.1 Windows 2000 Server T200 2002 Windows 2000 Server Windows 2000 Server Windows 2000 Server 3.5 for

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

STEP-MAX10 V2软件手册

STEP-MAX10 V2软件手册 小脚丫 STEP FPGA STEP 2016/12/7 目录 1. 概述... 2 2. 软件安装... 2 2.1 Quartus Prime 软件下载 :... 2 2.2 Quartus 安装步骤 :... 3 3. 创建第一个工程... 8 3.1 新建工程... 8 3.2 添加设计文件... 12 3.3 管脚约束... 14 3.4 FPGA 加载... 17 4. 仿真工具 Modelsim...

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w Windows Windows XP Microsoft Windows XP Professional Operating System Windows Windows 7 Microsoft Windows 7 Professional Operating System Windows Windows 8 Microsoft Windows

More information

CL-S10w

CL-S10w Data Management Software CL-S10w WindowsWindows XP Microsoft Windows XP Professional Operating System WindowsWindows 7 Microsoft Windows 7 Professional Operating System Excel Microsoft Excel MicrosoftWindowsWindows

More information

Eclipse C C++, or

Eclipse C C++,  or Eclipse C C++, Emailctchen@pl.csie.ntut.edu.tw or s1669021@ntut.edu.tw, s2598003@ntut.edu.tw http://pl.csie.ntut.edu.tw/~ctchen, http://www.ntut.edu.tw/~s2598003/ 2004/9/10 (0.02 ) Eclipse http://www.eclipse.org

More information

STC-U10

STC-U10 TW STAMP MAKER STC-U10 AD-A12200L AC STC-PC10 USB / 0.17 fl.oz. (5 ml) 15 mm 15 mm ( 3 /5" 3 /5") (2) 29 48 1 ... 1... 2... 4... 4... 9... 10... 12... 12... 12... 13... 15... 15... 16... 16... 16... 17...

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se 1 SQL Server 2005 DBA Microsoft SQL Server SQL ServerSQL Server SQL Server SQL Server SQL Server SQL Server 2005 SQL Server 2005 SQL Server 2005 o o o SQL Server 2005 1 SQL Server 2005... 3 2 SQL Server

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

Data Server_new_.doc

Data Server_new_.doc 0i B/C Data Server Windows 2000 Window XP Windows XP FTP FANUC Data Server FTP liwei@beijing-fanuc 1 06-10-8 Content 1. /...3 1.1...3 1.2...3 1.3 CNC...3 2....5 2.1 STORAGE...5 2.2 FTP...6 2.3 BUFFER...7

More information

AXIS P7224 Video Encoder Blade – Installation Guide

AXIS P7224 Video Encoder Blade – Installation Guide 安 装 指 南 AXIS P7224 刀 片 视 频 编 码 器 中 文 法 律 考 虑 事 项 视 频 和 音 频 监 视 可 能 会 受 法 律 限 制, 各 个 国 家 / 地 区 的 法 律 会 有 所 不 同 如 将 本 产 品 用 于 监 控 目 的, 需 要 先 检 查 是 否 符 合 你 所 在 区 域 内 的 法 律 规 定 本 产 品 包 括 四 个 (4) H.264 解 码

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

網路安全:理論與實務 第二版

網路安全:理論與實務 第二版 第 10 章 :Wireshark 封 包 分 析 軟 體 10-1 Wireshark 簡 介 10-2 Wireshark 的 安 裝 方 法 10-3 Wireshark 的 使 用 Wireshark 簡 介 - 發 展 歷 史 Wireshark (http://www.wireshark.org/) 是 一 個 開 放 原 始 碼 (open source software) 軟 體,

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

untitled

untitled 1.1 1.2 1.3 Creo Parametric 1.4 1.5 Creo Parametric 1.6 Creo Parametric 1.7 1.8 1.9 1.10 PTC Creo Pro/ENGINEER CoCreate ProductView Creo PTC Pro/ENGINEER Pro/ENGINEER 1989 3D CAD/CAM Pro/ENGINEER Creo

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w WindowsWindows 7 Microsoft Windows 7 Professional Operating System WindowsWindows 8.1 Microsoft Windows 8.1 Pro Operating System WindowsWindows 10 Microsoft Windows 10

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

untitled

untitled MeetingPlaza Version6.1 License Package NTT IT 2012 11 20 I 1... 4 1-1 Web...4 1-2 MeetingPlaza...4 1-3...4 1-4...5 2... 6 2-1...6 2-2...7 3... 9 3-1...10 3-2...13 3-3...16 3-3-1... 17 3-3-2... 18 3-3-3...

More information

ZYNQ 开发教程 ZYNQ 开发 (FPGA) 长沙聚为电子科技有限公司

ZYNQ 开发教程 ZYNQ 开发 (FPGA) 长沙聚为电子科技有限公司 ZYNQ 开发教程 ZYNQ 开发 (FPGA) www.csjuwei.com ZYNQ 开发教程 目录 一开发环境建立... 1 1.1 VIVADO 软件安装... 1 1.1.1VIVADO 软件安装... 1 1.1.2 VIVADO 软件注册... 4 二创建工程... 6 2.1. 创建工程... 6 2.2. zynq 配置... 8 2.3. zynq vivado 基本操作...

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

RUN_PC連載_10_.doc

RUN_PC連載_10_.doc PowerBuilder 8 (10) Jaguar CTS ASP Jaguar CTS PowerDynamo Jaguar CTS Microsoft ASP (Active Server Pages) ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar Server ASP

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

4. I/O I/O Copyright 2001, Intellution, Inc. 4-1

4. I/O I/O Copyright 2001, Intellution, Inc. 4-1 4. I/O I/O Copyright 2001, Intellution, Inc. 4-1 4. I/O ifix SCADA I/O ifix ifix I/O I/O SCADA I/O Copyright 2001, Intellution, Inc. 4-2 4.1. A SCU SCU - - - - B SCU SCADA - - I/O Copyright 2001, Intellution,

More information

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464>

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464> 第 1 章 进入 Photoshop 的全新世界 本章导读 Photoshop 1 1.1 Photoshop CS6 Photoshop Photoshop 1.1.1 Photoshop POP 1-1 图 1-1 平面广告效果 1.1.2 Photoshop 1-2 Photoshop CS6 Photoshop CS6 Photoshop CS6 Extended 3D 3 Photoshop

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

Quartus Prime 软件下载和安装快速入门指南 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, N

Quartus Prime 软件下载和安装快速入门指南 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, N 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words and logos are trademarks of Intel Corporation in

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

语 考 试 考 务 工 作 的 汉 考 国 际 教 育 科 技 ( 北 京 ) 有 限 公 司 ( 以 下 简 称 汉 考 国 际 ) 组 织 的 培 训 和 网 络 考 试 系 统 安 装 指 导, 并 签 署 汉 语 网 络 考 试 补 充 服 务 协 议 第 六 条 拟 新 申 请 成 立 汉

语 考 试 考 务 工 作 的 汉 考 国 际 教 育 科 技 ( 北 京 ) 有 限 公 司 ( 以 下 简 称 汉 考 国 际 ) 组 织 的 培 训 和 网 络 考 试 系 统 安 装 指 导, 并 签 署 汉 语 网 络 考 试 补 充 服 务 协 议 第 六 条 拟 新 申 请 成 立 汉 汉 语 网 络 考 试 国 内 考 点 管 理 办 法 第 一 章 总 则 第 一 条 为 促 进 汉 语 网 络 考 试 快 速 健 康 发 展, 调 动 各 考 试 承 办 机 构 积 极 性, 根 据 国 家 汉 办 汉 语 考 试 国 内 考 点 审 批 办 法 特 制 订 本 管 理 办 法 第 二 条 中 国 国 内 大 陆 地 区 汉 语 网 络 考 试 考 点, 必 须 遵 守 本

More information

Sophos Central 快速安裝手冊

Sophos Central 快速安裝手冊 Sophos Central 快速安裝手冊 1 1. Sophos Central...5 2....9 3....13 3.1. Enduser Protection...13 3.2. Intercept X...21 3.3....28 3.4....36 3.5....45 3.5.1...45 3.5.2...50 3.5.3...54 3.5.4...57 3.5.5...60 3.6...63

More information

solutions guide

solutions guide solutions guide Tridium 01 Table of Contents Tridium... 1 Frameworks... 4 Niagara AX Framework... 5 Sedona Framework... 6.... 7 NPM... 8 Sedona Chip... 9 AX Supervisor... 10 AX SoftJACE...11...12. JACE

More information

RunPC2_.doc

RunPC2_.doc PowerBuilder 8 (5) PowerBuilder Client/Server Jaguar Server Jaguar Server Connection Cache Thin Client Internet Connection Pooling EAServer Connection Cache Connection Cache Connection Cache Connection

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品 DDJ-SP http://pioneerdj.com/support/ http://serato.com/ 目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中,

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1 科 技 部 自 由 軟 體 專 案 原 始 程 式 碼 使 用 手 冊 Source Code Manual of NSC Open Source Project 可 信 賴 的 App 安 全 應 用 框 架 -App 應 用 服 務 可 移 轉 性 驗 證 Trusted App Framework -Transferability Verification on App MOST 102-2218-E-011-012

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s MapAsia MapKing TM User Guide Full Function Version (Pocket PC and PC) For Microsoft Pocket PC/ Pocket PC 2002/2003 Microsoft Windows XP/2000/Me/98 Edition 2004 ( : ) 2002-2004, MapAsia.com Limited Table

More information

穨IC-1000

穨IC-1000 IC-1000 LEDOMARS Information Coporation :(02)27913828 :(02)27945895 (04)2610628 (04)2650852 (07)3897016 (07)3897165 http://www.ledomars.com.tw 1 1. IC-1000 2. IC-1000 LED : ERROR LNK/ACT PWR TEST PWR(Power)

More information

1.1 OfficeScan OfficeScan V5.02 SOP OfficeScan HTTP-based Windows NT/Windows Intel Pentium II 233 MHz 64 MB 200 MB 640 x Wi

1.1 OfficeScan OfficeScan V5.02 SOP OfficeScan HTTP-based Windows NT/Windows Intel Pentium II 233 MHz 64 MB 200 MB 640 x Wi 1.1 OfficeScan OfficeScan V5.02 SOP 1.1.1 OfficeScan HTTP-based Windows NT/Windows 2000 1.1.1.1 Intel Pentium II 233 MHz 64 MB 200 MB 640 x 480 256 Windows NT 1.1.1.2 Windows NT 4.0 SP5 Windows 2000 SP1/SP2

More information

ansoft_setup21.doc

ansoft_setup21.doc Cadence Cadence Cadence 1000 (1) (2) CIC (3).. CIC Cadence (a) CIC license license server license CIC license CIC license (b) 2000 Cadence license 92 1 1 license server CIC 92 1 1 Cadence license licenser

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

作 業 系 統 簡 介 光 有 電 腦 硬 體, 會 不 容 易 使 用 必 須 要 有 適 當 的 程 式, 才 方 便 操 作 硬 體 衍 生 作 業 系 統 需 求 : 提 供 方 便 使 用 者 執 行 程 式 平 台 有 效 使 用 各 種 電 腦 硬 體 資 源 Jingo C. Lia

作 業 系 統 簡 介 光 有 電 腦 硬 體, 會 不 容 易 使 用 必 須 要 有 適 當 的 程 式, 才 方 便 操 作 硬 體 衍 生 作 業 系 統 需 求 : 提 供 方 便 使 用 者 執 行 程 式 平 台 有 效 使 用 各 種 電 腦 硬 體 資 源 Jingo C. Lia 第 三 章 作 業 系 統 概 論 Reporter : Jingo C. Liao 廖 正 宏 E-mail : jingo@mail.tku.edu.tw 章 節 列 表 1. 什 麼 是 作 業 系 統 2. 作 業 系 統 的 主 要 功 能 3. 作 業 系 統 的 核 心 程 式 4. 作 業 系 統 的 演 進 歷 史 5. 常 見 流 行 的 作 業 系 統 Jingo C. Liao

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

05 01 X Window X Window Linux Linux X Window X Window Webmin Web Linux Linux X Window X Window Notebook PC X Window X Window module Linux Linux kernel

05 01 X Window X Window Linux Linux X Window X Window Webmin Web Linux Linux X Window X Window Notebook PC X Window X Window module Linux Linux kernel Linux sub bash test2.sh sub bash test.sh test2.sh sub bash var1 123 123 test.sh test2.sh var1 bash sub bash var1 bash 01 5-4 X Window X Window X Window Linux Server X Window CPU2006 Linux X Window benchmark

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

Microsoft Word - 实验一Android开发环境搭建.doc

Microsoft Word - 实验一Android开发环境搭建.doc 实验 1:Android 开发环境搭建 实验目的 : 搭建 Android 开发环境, 为后续的开发做好充分准备 实验内容 : n 搭建 Android 开发环境 n 创建 HelloWorld 程序实验步骤一 下载与安装 JDK n JDK 下载地址 :http://www.oracle.com/technetwork/java/javase/downloads/index.html 考虑到稳定性,

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

P X-M PowerChute Business Edition v8.0 Basic 85

P X-M PowerChute Business Edition v8.0 Basic 85 P-2416-211X-M 04-00 PowerChute Business Edition v8.0 Basic 85 PowerChute Business Edition v8.0 Basic P-2416-211X-M 04-00 PowerChute Business Edition v8.0basic PowerChute Business Edition v8.0 Basic PCBE

More information

PROFIBUS3.doc

PROFIBUS3.doc PLC PLC ProfiBus 3. PROFIBUS-DP PROFIBUS-DP PROFIBUS-DP PROFIBUS S7 STEP7 SIMATIC NET S5 COM PROFIBUS COM5431 PROFIBUS-DP GSD GSD *.GSD *. GSE GSD S7 STEP7 PROFIBUS DP S7-400 CPU416-2DP S7-200 PROFIBUS

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

untitled

untitled MeetingPlaza Version7.0 License Package NTT IT 2013 7 10 I _Toc360091693 1... 4 1-1 Web...4 1-2 MeetingPlaza...4 1-3...4 1-4...5 2... 7 2-1...7 2-2...9 3... 11 3-1...12 3-1-1... 13 3-1-2... 15 3-1-3...

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

Windows 2000 Server for T100

Windows 2000 Server for T100 T200 3020 Windows 2000 Advanced Server /Windows NT 4.0 Server /Redhat Linux7.3 SCO UnixWare7.1.1 Novell NetWare5.0 1. Windows 2000 Advanced Server / 2. Windows NT 4.0 Server / 3. Redhat Linux7.3 4. SCO

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

Sun Storage Common Array Manager 阵列管理指南,版本 6.9.0

Sun Storage Common Array Manager  阵列管理指南,版本 6.9.0 Sun Storage Common Array Manager 阵 列 管 理 指 南, 版 本 6.9.0 文 件 号 码 :E27519-01 2012 年 2 月 版 权 所 有 2007, 2011, Oracle 和 / 或 其 附 属 公 司 保 留 所 有 权 利 本 软 件 和 相 关 文 档 是 根 据 许 可 证 协 议 提 供 的, 该 许 可 证 协 议 中 规 定 了 关

More information

基于UML建模的管理管理信息系统项目案例导航——VB篇

基于UML建模的管理管理信息系统项目案例导航——VB篇 PowerBuilder 8.0 PowerBuilder 8.0 12 PowerBuilder 8.0 PowerScript PowerBuilder CIP PowerBuilder 8.0 /. 2004 21 ISBN 7-03-014600-X.P.. -,PowerBuilder 8.0 - -.TP311.56 CIP 2004 117494 / / 16 100717 http://www.sciencep.com

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information