目录

Size: px
Start display at page:

Download "目录"

Transcription

1 ALTERA_CPLD SY_ SY_ EPM7128SLC EPM7032SLC HT46R DEMO I/O A/D89C

2 54SY Atmel_ISP 32 56Altera_ISP...33 ****************** 2 33

3 ALETRA CPLD 11SY_ LED LED 6. JP1 MCU/CPLD 7. JP2 3 33

4 MCU/CPLD 9. PA 9 CPLD SY_03091 CPLD CPLD Test7128 POWER PWM LED PWM 4 33

5

6 6 33

7 7 33

8 22 1. MAX+plusII10.0BAS 2. Option\ License Setup 8 33

9 3. BrowseLicense 4. OKUnlicensed Features Licensed Features 5. MaxplusII 9 33

10 23 WIN98 WIN2000 WIN WIN

11 3. 4. C:\maxplus2\Drivers\win Altera ByteBlaster 11 33

12 6. Windows2000 MaxplusII Altera ByteBlaster 24 JP1 1_2 2_3 CPLD JP2 1_2 2_3 CPLD JP3 CPLD 1_2 2_3 ISP 10pin ISP_ JTAG 25pin PC Lpt1 SY_ V AC8V~12VPower 12 33

13 ISP Power 25 DEMO PC file\open 13 33

14 file\project\set Project to Current File MAX+plusII\Compiler 14 33

15 Start MAX+plusII\rogrammer Options\Hardwaer Setup

16 2_

17 31EPM7128SLC (MAX) CMOS EPROM JTAG JTAG BST 5.0ns 178.6Mhz 17 33

18 / 100 Altera MAX+PLUSII 32EPM7032SLC / 36 33HT46R

19 RISC CMOS 4MHZ 2.2V~~5.5V; 8MHZ 3.3V~~5.5V 2K*14 68K*8 SRAM A/D PWM PA Prescaler WDT PED 19 33

20 1 () /******************************************************************/ //: Sy03091.c //: // HT46R47, ALTERAEPM7128/64/32, // AN0( 8 ), PB1( 7 ) CPLD. // AN0( 8 ), PD0( 10 ) PWM,. // PA AN0 CPLD EPM7128. //Body: HT46R47 //Mask option //SysFreq: 4000KHz //Product: 18SKDIP-A //WDT clock Source: WDTOSC(12Khz) //CLR WDT: One clear instruction //Input type PA:CMOS //BZ/BZB : All Enable //OSC:lnt.RC+PC3/PC4 non pull high //FOSC:3.2Mhz //the others use the default value //========================================= #include <ht46r47.h> #define clk1_out _pb1 #define clk2_out _pb2 #define C _c #define pwm_out _pd0 #define ADRL _adrl #define ADRH _adrh #pragma vector 0x4 //ISR for safequard #pragma vector 0x8 //ISR for safequard const unsigned char led_code[16]={0xc0, 0xf9, 0xa4, 0xb0, 0x99, 0x92, 0x82, 0xf8, 0x80, 0x90, 20 33

21 0x88, 0x83, 0xc6, 0xa1, 0x86, 0x8e}; // Pa.7_Pa.6_Pa.5_Pa.4_Pa.3_Pa.2_Pa.1_Pa.0 // h+1 g f e d c b a //0xc //0xf //0xa //0xb //0x //0x //0x //0xf //0x //0x //0x A //0x B //0xc C //0xa D //0x E //0x8e F const unsigned char words[4] = {0xfe, 0xfd, 0xfb, 0xf7}; // Pb.7 Pb.6 Pb.5 Pb.4 Pb.3 Pb.2 Pb.1 Pb.0 // word7_word6_word5_word4_word3_word2_word1_word0 //0xc //0xc //0xc //0xc const unsigned char tab_disp[4] = {0x00, 0x01, 0x02, 0x03}; //a long time delay void mydelay(unsigned int times){ while(times--) _delay(10); // while(times--) _delay(500); } void safeguard_init(); // void initial(); void check_led1(); 21 33

22 void output_clock(); void clrwdt(); void min_adjust(); void hour_adjust(); void arrange_hour(); void ad_chege(); //AD */ void BCD(); // void PWM_SET(); // PWM PD unsigned char min_adj_pressed(); unsigned char hour_adj_pressed(); unsigned char alley; // unsigned char Time_disp; // unsigned char Time_clock; // unsigned char ttt; unsigned char ss; unsigned char pb_bit; const char tt=4; const char mas_id=0x01; //id=1; unsigned int vv; unsigned char FLAG0; unsigned char disp_ad0[8]; unsigned char disp_ad1[8]; unsigned char disp_ad2[8]; unsigned char disp_ad3[8]; unsigned char adbuf_l; unsigned char adbuf_h; //========================================= //initialize registers for safeguard void safeguard_init() // { _intc = 0x05; //(ETI=1) //: - ADF TF EIF EADI ETI EEI EMI _tmrc = 0x90; //: TM1 TM0 - TON TE PSC2 PSC1 PSC0 _tmr = 0; // _adcr = 0x08; //, 1 AN0 //ADCR=b7.b6,b5.b4.b3.b2.b1.b

23 //b2.b1.b0=an7~an0; //b5.b4.b3=an7~an0 (is AD & I/O setup,ad=1,i/o=0) //b6=a/d, 0. //b7=a/d,0-->1 ; } //========================================= void initial() // { _pac = 0; // _pa = 0xff; //port A is high _pbc = 0x08; // PB0,PB1/PB2/PB3 _pb = 0xff; //port B off _pdc = 0; // PWM _pd0 = 0; //port D is low } //========================================= void ad_chege() /*AD */ { _acsr = 0x01; //,/8. //ACSR=b7.b6,b5.b4.b3.b2.b1.b0 //b1.b0=adcs~1adcs0, 10/01/00=32/8/2; //b7=test _start=0; // 0->1->0 _start=1; _start=0; while(_eoc==1); // EOCB=0, adbuf_l=adrl; adbuf_h=adrh; } //========================================= void clrwdt(){ #asm clr wdt #endasm } //========================================= 23 33

24 void BCD_PWM() // PWM { unsigned char f1; unsigned char f2; f1=adbuf_h; disp_ad0[3]=f1/255; disp_ad0[2]=f1/26; f2=(f1%26); disp_ad0[1]=f2*10/26; if(disp_ad0[3]==1)disp_ad0[2]=disp_ad0[1]=0; disp_ad0[0]=1; // disp_ad0[4]=0; // disp_ad0[5]=1; //A/D 01 disp_ad0[6]=0; //A/D 01 disp_ad0[7]=1; //ID disp_ad0[8]=6; // mydelay(10); } //========================================= void isr_timer() //ISR for safequard { if(ss==5) { if(alley==0) { clk1_out=~clk1_out; Time_clock=adbuf_H; alley=time_clock+1; } alley--; ss=0; } ss++; } //========================================= void check_bzid() //, 000~111 { 24 33

25 char tps_buf; char sss; if(tps_buf&0x08) { _pb0=1; // } else _pb0=0; // } //========================================= void main() { ttt=0; alley=100; safeguard_init(); // initial(); // while(1) { ad_chege(); //AD, adbuf_h/adbuf_l. _pwm=adbuf_h; // PWM pwm_out=1; // PWM,"1" _pa=adbuf_h; // PA mydelay(1000); mydelay(1000); if(ttt==20) {clk2_out=0; mydelay(100); clk2_out=1; ttt=0; } ttt++; clrwdt(); } } /******************************************************************/ 25 33

26 2 3 a * 1 b Altera ISP * 1 c PLCC84/44 *1 d PLCC68 *1 e * 1 f * 1 a) test7128.gdf b) test7032.gdf c) SY_03091 d) PowerLogic e) UltraEdit f) MAX+plusII10.0BAS g) 26 33

27 DEMO I/O 51XXDEMO 51XXDEMO P0P1P2P3 LEO 51 P0P1P2P3 DC+5V AC/8-12V SY-51DEMO 27 33

28 52A/D-8X51 A/D-8X51 51 AD X51 LED AD590 HI/LOW 28 33

29 a 8X51 b 4 LED 3 c 4 MODESETUPDOWN d DC+5V AC9V-12V e LED f 8X51 SY

30 C2051 DC-+5V DC-+12V 12 / SY

31 54SY_ X5X /// *2 () 4. RS EPROM 6. 4*4 7., 8. 6 DTLED-6 9. SY

32 55Atmel_ISP ISP 25pin PC 10pin Atmel-ISP +5 ATMEL AT89S

33 56ALTERA_ISP ALTERA_7XXX MAX+plusII ISP 25pin PC 10pin ISP-JATG +5 ALTERA EPMXX ISP ***************** 33 33

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

2013 C 1 # include <stdio.h> 2 int main ( void ) 3 { 4 int cases, a, b, i; 5 scanf ("%d", & cases ); 6 for (i = 0;i < cases ;i ++) 7 { 8 scanf ("%d %d

2013 C 1 # include <stdio.h> 2 int main ( void ) 3 { 4 int cases, a, b, i; 5 scanf (%d, & cases ); 6 for (i = 0;i < cases ;i ++) 7 { 8 scanf (%d %d 2013 18 ( ) 1. C pa.c, pb.c, 2. C++ pa.cpp, pb.cpp, Compilation Error cin scanf Time Limit Exceeded 1: A 5 B 5 C 5 D 5 E 5 F 5 1 2013 C 1 # include 2 int main ( void ) 3 { 4 int cases, a, b,

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

+00DE _01EN.book

+00DE _01EN.book TCS-NET MODBUS TCS-NET Modbus TCB-IFMB640TLE 1...... 2 2 RS 485... 3 3... 3 4... 4 5... 4 6... 5 7... 6 8... 16 TCS-NET Modbus 1 http://www.modbus-ida.org/ >=3.5 8 8 N*8 ( N = 252.) 16 >= 3.5 Modbus-Master

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

安全上的注意事項 在使用之前 請詳閱本 安全上的注意事項 後 正確地使用 在此所指的注意事項 是為了讓使用者正確地使用產品 避免對使用者或他人造成危害或財產損失 請務必遵守 注意事項區分如下 危險 符號範例 代表操作錯誤可能導致死亡或重傷 且急迫性 較高的內容 此符號表示 禁止 從事的行為 警告 以

安全上的注意事項 在使用之前 請詳閱本 安全上的注意事項 後 正確地使用 在此所指的注意事項 是為了讓使用者正確地使用產品 避免對使用者或他人造成危害或財產損失 請務必遵守 注意事項區分如下 危險 符號範例 代表操作錯誤可能導致死亡或重傷 且急迫性 較高的內容 此符號表示 禁止 從事的行為 警告 以 TF10...1 3... 4...5 7... 8... 9... 9... 10... 安全上的注意事項 在使用之前 請詳閱本 安全上的注意事項 後 正確地使用 在此所指的注意事項 是為了讓使用者正確地使用產品 避免對使用者或他人造成危害或財產損失 請務必遵守 注意事項區分如下 危險 符號範例 代表操作錯誤可能導致死亡或重傷 且急迫性 較高的內容 此符號表示 禁止 從事的行為 警告 以左圖為例代表禁止拆解

More information

WatchOUT 315DT Installation Manual 2

WatchOUT 315DT Installation Manual 2 双 技 术 户 外 探 测 器 WatchOUT 315DT 安 装 说 明 书 继 电 器 和 BUS 模 式 WatchOUT 315DT Installation Manual 2 目 录 继 电 器 模 式 安 装......4 简 介............ 4 安 装...........4 安 装 注 意 事 项.........4 墙 壁 安 装...........5 平 面 安

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

工业和信息化部 水利部 全国节约用水办公室

工业和信息化部 水利部 全国节约用水办公室 附 件 : 国 家 节 水 标 杆 企 业 和 标 杆 指 标 ( 第 一 批 ) 序 号 企 业 名 称 产 品 名 称 1 太 原 钢 铁 ( 集 团 ) 有 限 公 司 不 锈 钢 标 杆 指 标 ( 单 位 产 品 取 水 量 ) 1.45 m 3 /t ( 再 生 水 用 量 占 总 用 水 量 的 50%) 2 莱 芜 钢 铁 集 团 有 限 公 司 H 型 钢 齿 轮 钢 3.43m

More information

新版 明解C言語入門編

新版 明解C言語入門編 328, 4, 110, 189, 103, 11... 318. 274 6 ; 10 ; 5? 48 & & 228! 61!= 42 ^= 66 _ 82 /= 66 /* 3 / 19 ~ 164 OR 53 OR 164 = 66 ( ) 115 ( ) 31 ^ OR 164 [] 89, 241 [] 324 + + 4, 19, 241 + + 22 ++ 67 ++ 73 += 66

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * /

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 2005 http://www.hioki.cn HIOKI,, IEC JIS HIOKI 3173 3158 3159 3153 3154 : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 3156 3157 3931 3930 9267 PC ( JIS/IEC/UL

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

C 1 # include <stdio.h> 2 int main ( void ) { 4 int cases, i; 5 long long a, b; 6 scanf ("%d", & cases ); 7 for (i = 0;i < cases ;i ++) 8 { 9

C 1 # include <stdio.h> 2 int main ( void ) { 4 int cases, i; 5 long long a, b; 6 scanf (%d, & cases ); 7 for (i = 0;i < cases ;i ++) 8 { 9 201 201 21 ( ) 1. C pa.c, pb.c, 2. C++ pa.cpp, pb.cpp Compilation Error long long cin scanf Time Limit Exceeded 1: A 1 B 1 C 5 D RPG 10 E 10 F 1 G II 1 1 201 201 C 1 # include 2 int main ( void

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

SMD POWER INDUCTORS BS CDSU SERIES Test Freq. : BS0302CDSU BS0403CDSU BS0502CDSU BS0503CDSU BS0504CDSU BS0703CDSU BS0705CDSU BS1004CDSU BS1005CDSU 1.0

SMD POWER INDUCTORS BS CDSU SERIES Test Freq. : BS0302CDSU BS0403CDSU BS0502CDSU BS0503CDSU BS0504CDSU BS0703CDSU BS0705CDSU BS1004CDSU BS1005CDSU 1.0 SPECIFICATION OF BS CDSU SERIES FEATURES 1. To be high saturation for surface mounting type inductors. 2. Low cost feature. APPLICATIONS VTR, QA equipment, LCD television set, nootbook PC, portable communication

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植 : C GUI MSGl9264 C GUI MSGl9264 µc GUI Micrium µc OS µc GUI * [1] µc GUI Windows µc GUI VC Windows µc GUI µc GUI µc GUI µc GUI MSGl9264 µc GUI 1 µc GUI MSP430F149 MSP430F149 16 (RISC 125ns ) ( ADC ) 2KB

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

untitled

untitled 2007 12 1 2 SIRIUS 3 4 5 6 2 2/2 3SB3 2/4 3SB3 2/5 3SB3 2/5 2/7 2/10 2/11 2/13 3SB3 2/14 3SB3 2/15 3SB3 2/17 3SB37 SIRIUS 3SB3 3SB3 (/) (/) (/) () Ø22mm (/) (/) (/) () 23 RONIS (/) (SB30) () 23 OMR (/)

More information

新・解きながら学ぶC言語

新・解きながら学ぶC言語 330!... 67!=... 42 "... 215 " "... 6, 77, 222 #define... 114, 194 #include... 145 %... 21 %... 21 %%... 21 %f... 26 %ld... 162 %lf... 26 %lu... 162 %o... 180 %p... 248 %s... 223, 224 %u... 162 %x... 180

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Microsoft Word - 澎湖田調報告_璉謙組.doc

Microsoft Word - 澎湖田調報告_璉謙組.doc 越 籍 新 住 民 妊 娠 醫 療 照 護 : 訪 談 李 亞 梅 女 士 組 長 : 郭 璉 謙 成 大 中 文 所 博 二 組 員 : 阮 壽 德 成 大 中 文 所 博 一 黃 榆 惠 成 大 中 文 所 碩 一 許 愷 容 成 大 中 文 所 碩 一 何 珍 儀 成 大 中 文 所 碩 一 指 導 老 師 : 陳 益 源 教 授 前 言 2009 年 03 月 21 日, 下 午 2 時 30

More information

LBS 行 200 /0 /2 3. 行 ALFA AUTO. MACHINERY CO. LTD

LBS 行 200 /0 /2 3. 行 ALFA AUTO. MACHINERY CO. LTD LBS 行 200/0/2 3. 行 ALFA AUTO. MACHINERY CO. LTD 錄 1. 0-1 錄 1.1... 1-1 1.2... 1-2 1.3 流 力 說... 1-5 1.4... 1-6 1.5 路... 1-7 1.6 連 路說... 1-8 1.7 說... 1-9 1.8 / 說... 1-10 1.9 說... 1-12 1.10........ 1-13 1.11

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft Word - Sable User's Manual.doc

Microsoft Word - Sable User's Manual.doc SABLE 刻 字 机 使 用 手 册 1 注 意 GCC 星 云 保 留 在 不 事 先 通 知 的 情 况 下, 修 改 该 使 用 手 册 任 何 内 容 的 权 利! 禁 止 任 何 未 经 允 许 的 修 改 复 制 分 发 或 公 布! 关 于 此 手 册 有 任 何 问 题 或 意 见 请 联 系 您 的 当 地 经 销 商 2 目 录 安 全 操 作 注 意 事 项...5 第 一

More information

新・明解C言語入門編『索引』

新・明解C言語入門編『索引』 !... 75!=... 48 "... 234 " "... 9, 84, 240 #define... 118, 213 #include... 148 %... 23 %... 23, 24 %%... 23 %d... 4 %f... 29 %ld... 177 %lf... 31 %lu... 177 %o... 196 %p... 262 %s... 242, 244 %u... 177

More information

c_cpp

c_cpp C C++ C C++ C++ (object oriented) C C++.cpp C C++ C C++ : for (int i=0;i

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

PIC_SERVER (11) SMTP ( ) ( ) PIC_SERVER (10) SMTP PIC_SERVER (event driven) PIC_SERVER SMTP 1. E-

PIC_SERVER (11) SMTP  ( ) ( ) PIC_SERVER (10) SMTP  PIC_SERVER (event driven)  PIC_SERVER SMTP  1.  E- (2005-02-01) (2005-04-28) PIC_SERVER (10) SMTP E-mail PIC_SERVER (event driven) E-mail PIC_SERVER SMTP E-mail 1. E-mail E-mail 1 (1) (2) (3) (4) 1 1. 2 E-mail A E-mail B E-mail SMTP(Simple Mail Transfer

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

DCU9010及9020硬件使用说明书.doc

DCU9010及9020硬件使用说明书.doc DCU9010/9020 BORIT...2...5...12...19...20...23...33...35 1 BORIT BORIT DCU9020 DCU9010 DCU9020XP DCU9010XP CA-232 SEGUARD Modem DCU9020/XP BORIT RS485 127 DCU9020 485 508 DCU9010/XP DCU9010/9020 DCU9010/XP

More information

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP ... 1... 1... 1... 2... 2... 3... 3 Philips Respironics...3... 4 /...5... 6...6... 7... 8... 8...9... 9...10 Bluetooth...14...15...15...15...16...20...22...23...24...24...24...24...25...26...27

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

6020

6020 6020 ... 1 1.1... 1 1.2... 1 1.3 6020... 2 1.3... 5 1.3.1... 5 1.3.2 ISA I/O (S1)... 5 1.3.3 (J4,5,6)... 6 1.3.4... 6... 9 2.1... 9 2.2... 9 2.3 COMPILING AND LINKING... 11 2.3.1 MICROSOFT C MICROSOFT

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

考试大2011年高考试题答案

考试大2011年高考试题答案 持 续 更 新 中... 一 单 项 选 择 题 ( 本 类 题 共 30 小 题, 每 小 题 1 分, 共 30 分 每 小 题 备 选 答 案 中, 只 有 一 个 符 合 题 意 的 正 确 答 案 多 选 错 选 不 选 均 不 得 分 ) 1. 甲 乙 签 订 的 买 卖 合 同 中 订 有 有 效 的 仲 裁 条 款, 后 因 合 同 履 行 发 生 的 纠 纷, 乙 未 声 明 有

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2 200MHz / & NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 =1048576(IEC 60027-2/ IEEE 1541-2002 P.7 DC / FMFSKPMPSKAMDCPWM DC 2 222 2 DC 2DC P.8 2 DC100MHz 200MHz/ 0.01Hz200MHz

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路 2015 年 容 器 检 验 员 取 证 邮 寄 证 书 查 询 邮 寄 日 期 2016.2.26 查 询 网 址 http://yjcx.chinapost.com.cn/zdxt/yjcx/ 省 份 姓 名 工 作 单 位 通 信 地 址 邮 政 编 码 挂 号 号 码 山 东 丁 宪 振 山 东 省 特 种 设 备 检 验 研 究 院 山 东 省 济 南 市 高 新 区 天 辰 大 街 939

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得 翻 印 修 改 或 引 用 警 告 : 请 专 业 人 员 检 测 和 维 修 本 设 备! 警 告 : 本 仪 表 使 用 24V 直 流 电 源, 请 务 必 正 确

SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得 翻 印 修 改 或 引 用 警 告 : 请 专 业 人 员 检 测 和 维 修 本 设 备! 警 告 : 本 仪 表 使 用 24V 直 流 电 源, 请 务 必 正 确 SeTAQ R HMCA 系 列 高 速 多 通 道 数 字 称 重 接 线 盒 使 用 说 明 书 山 东 西 泰 克 仪 器 有 限 公 司 山 东 济 南 高 新 区 天 辰 大 街 1251 号 www.setaq.com setaq@setaq.com V1..2 SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

_InfraSuite datacenter_B5_SC_ _print.pdf

_InfraSuite datacenter_B5_SC_ _print.pdf The power behind competitiveness InfraSuite www.deltagreentech.com.cn InfraSuite II 1 ----------------------------------------------------------------------1 1.1 -----------------------------------------------------------------------------------------------

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information