EDAKONXIN.PDF

Size: px
Start display at page:

Download "EDAKONXIN.PDF"

Transcription

1

2 - 1 - ispexpert/synario EDA/VHDL GWDD6-C

3 a b GW48-CK GW48-CK EDA EDA README.TXT c d e f DAC0832 -/+12V 1-2

4 - 1 - BL7 BL7 BL6 GWDVP GW48 J3A J3B FPGA/CPLD 1 5V FPGA CPLD 2 5V FPGA/CPLD EP1K30/50/100 EPF10K30E 2.5V ByteBlasterMV (4) FPGA/CPLD GW48 5V JV2 2.5V 1.8V BL1 BL2 BL3 BL4 BL5 isplsi isplsi BL7

5 J4 50M J FUSE +5V GND D8 D7 D6 D5 D4 D3 D2 D1 S1 C38 K1 J1 JMCU JS6 J6 JVCC VGA B2 PS/2 B8 VGA B3 2 1 RS-232 J3B JVCC 3.3V(VCCIO) 5V VCC 5V VCC EP1K30/50/100 EPF10K30E/50E JVCC VCCIO JV2 J V 5V VCC ByteBlaster ByteBlasterMV SWG9 B4 J8 JV2 RS-232 JS5 2 CON2 J7 EDA CPLD/FPGA 1 CON1 KONXIN ASIC J2 SW10 SW9 Clock0 JSL AD1674/AD574 ADC0809 J11 A/D TLC549 DAC0832 A/D JP1A JP1B JP1C A/D ADCC IN0 AIN0 JP2 IN1 AIN1 D9 D10 D11 D12 D13 D14 D15 D16 ADC08031 AOUT D/A VR1 DACC A/D CXX 24CXX TLC TLV1572 JTL -12V GND +12V EEP ROM JAV D/A A/D A GW48-CK

6 - 3 - ~ ~ ~

7 - 4 - ~ ~ 1-1 GW48-C AD574/1674 ~~~ ~ ~ ~ ~

8 - 5 - ~ ~ 5 PIO11~PIO8 PIO15~PIO12

9 - 6 - HEX PIO2 PIO3 PIO4 PIO5 PIO7 PIO6 D1 D2 D3 D4 D5 D6 D7 D8 D16 D15 D14 D13 D12 D NO.0 SPEAKER FPGA/CPLD PIO15-PIO12 PIO11-PIO8 PIO7--PIO2 HEX PIO47-PIO44 PIO43-PIO40 PIO39-PIO36 PIO35-PIO32 PIO31-PIO28 PIO27-PIO24 PIO23-PIO20 PIO19-PIO16

10 PIO19-PIO16 PIO23-PIO20 PIO27-PIO24 PIO31-PIO28 PIO35-PIO32 PIO39-PIO36 PIO43-PIO40 PIO47-PIO44 D8 D7 D6 D5 D4 D3 D2 D1 FPGA/CPLD PIO15 PIO14 PIO13 PIO12 PIO11 PIO10 PIO9 PIO8 PIO15-PIO8 PIO7 PIO6 PIO5 PIO4 PIO3 PIO2 PIO1 D9 D16 D15 D14 D13 D12 D11 D10 PIO0 SPEAKER NO.3

11 PIO19-PIO16 PIO23-PIO20 PIO27-PIO24 PIO31-PIO28 PIO35-PIO32 PIO39-PIO36 PIO43-PIO40 PIO47-PIO44 D8 D7 D6 PIO15 PIO14 PIO13 D5 PIO12 D4 PIO11 D3 PIO10 D2 PIO9 D1 PIO8 D16 D15 D14 D13 D12 D11 D10 D9 SPEAKER FPGA/CPLD PIO15-PIO8 PIO7 PIO6 PIO5 PIO4 PIO3 PIO2 PIO1 PIO NO.5

12 PIO19-PIO16 PIO23-PIO20 PIO27-PIO24 PIO31-PIO28 PIO35-PIO32 PIO39-PIO36 D8 PIO47 D7 PIO46 D6 PIO45 D5 PIO44 D16 D15 D14 D13 D12 D11 D9 D4 PIO43 D3 PIO42 D2 PIO41 D1 PIO40 SPEAKER FPGA/CPLD PIO47-PIO40 PIO7 PIO6 PIO5 PIO4 PIO3 PIO2 PIO NO.7

13 - 10 -

14 D8 D7 D6 D5 D4 D3 D2 D1 D16 D15 D14 D13 D12 D11 D10 D9 ADEN ADEOC COMP DAWR COMM JP2(5/6) JP EU1 (24) (23) C ADC0809 PIO35 PIO8 FPGA/CPLD PIO23 PIO22 PIO21 PIO20 PIO19 PIO18 PIO17 PIO16 PIO8 msb lsb2-8 EOC ADD-A ADD-B ADD-C ALE ENABLE START PIO39-PIO36 PIO43-PIO40 PIO47-PIO44 PIO37 PIO38 DA0--+5 DA PIO C30 PIO33 PIO35 PIO34 750KHZA CLOCK 750KHZA 10 IN-0 IN-1 ref(+) ref(-) V 2 FIT AIN0 AIN1 0 VCC 10K VR1 NO.5A SPEAKER JP2(1/2,3/4) PIO8 PIO9 PIO10 PIO11 PIO12 PIO13 PIO14 PIO15 PIO7 PIO6 PIO5 PIO4 PIO3 PIO2 PIO1 PIO0

15 J PIO46 5 PS/2 PIO45 1 FPGA/CPLD 3 D8 D7 D6 D5 D4 D3 D2 D1 PC D16 D15 D14 D13 D12 D11 D10 D9 PIO39-PIO36 PIO43-PIO40 PIO47-PIO MHZA B4 PIO11 PIO12 PIO13 PIO14 RS EU3 SPEAKER GND P35 P34 P33 P32 X1 X2 P31 P30 RST P37 P10 P11 P12 P13 P14 P15 P16 P17 VCC PIO15 PIO24 PIO25 PIO26 PIO27 PIO28 PIO29 PIO30 PIO VCC AT89C2051 NO.5B PIO8 PIO9 PIO10 PIO11 PIO12 PIO13 PIO14 PIO15 PIO7 PIO6 PIO5 PIO4 PIO3 PIO2 PIO1 PIO

16 D8 PIO15 D7 PIO14 D6 PIO13 D5 PIO12 D16 D15 D14 D13 D12 D11 D D4 PIO11 4 D3 PIO10 3 D2 PIO9 2 D1 PIO8 1 D9 FPGA/CPLD PIO19-PIO16 PIO23-PIO20 PIO35-PIO32 PIO43-PIO40 PIO47-PIO44 PIO15-PIO8 PIO7 PIO6 PIO5 PIO4 PIO3 PIO2 PIO1 PIO0 PIO38 PIO24 PIO25 PIO26 PIO27 PIO28 PIO29 PIO30 PIO31 PIO37 COMM DA DA0--+5 JP2 JP2(9,10) DAC0832 EU2 D0 D1 D2 D3 D4 D5 D6 D7 DAWR WR FB 9 IOUT1 11 IOUT2 12 /CS WR2 XFER A GND D GND +5 VCC VREF 8 VCC 20 NO.5C 10K 102 FIT 5.1K 5.1K 7 TL082/2 R72 5.1K pFC TL082/1 3 LM COMP JP2(COMP) +5 AOUT AIN0 10K VCC

17 DACC 1K REFS 1K REFS NO.5D PIO34 PIO27 SDA SCL TEST NC NC NC PIO19 PIO17 PIO34 PIO27 GND NC NC DOUT DIN SK CS 24CXX 93CXX VCC VCC GND VCC VCC TLC549 VCC PIO30 PIO PIO28 CS DOUT CK VCC GND REF- AIN REF+ JSL PIO26 PIO24 PIO25 PIO31 VCC LOAD DACD DACC DACB DACA LDAC CLK DATA REFD REFC REFB REFA TLC5620 GND VCC REFSS VCC REFS JTL JAV PIO19 PIO18 TLV1572 PIO17 SCLK VCC FS DO AIN GND VREF PIO34 CS VCC REFSS REFIN CLK VCC PIO17 PIO34 PIO VIN- VIN+ CS DOUT GND ADC08031 VCC ADCC D1 PIO8 D2 D3 D4 D5 D6 D7 D8 PIO9 PIO10 PIO11 PIO12 PIO15 PIO14 PIO13 SPEAKER FPGA/CPLD D16 D15 D14 D13 D12 D11 D10 D9 PIO47-PIO44 PIO43-PIO40 PIO39-PIO36 PIO35-PIO32 PIO31-PIO28 PIO27-PIO24 PIO23-PIO20 PIO19-PIO16 PIO15-PIO8 PIO0 PIO1 PIO2 PIO3 PIO4 PIO5 PIO6 PIO

18 - 15 -

19 * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

20 ~ ~ ~

21 JP1B GWDVP GWDVP 1 FPGA/CPLD ROM/RAM A/D DMA P3.0 P RS232 A/D D/A 3 ROM/RAM GWDVP 4053 DMA ROM/RAM FPGA/CPLD 27C512 27E512 27C256 27C128 27C64 28C64 28E FPGA/CPLD GWDVP 48

22 GWDVP FPGA/CPLD 1 isplsi1032 isplsi1048 isplsi3256 EPM7128S EPF10K10 EPF10K20 EPF10K30 EPF6016 XCS10 XC95108 FPGA/CPLD FPGA/CPLD GW48-CK EDA GW48-CK GWDVP GWDVP FPGA/CPLD GW48-CK 10 GWDVP FPGA/CPLD 12MHz 50MHz FPGA/CPLD 5 A/D ADC0832 ROM/RAM 8 6 D/A AD574 AD674 AD V +10V V 1 /10uS LM FPGA/CPLD 7 EEPROM 93C46 8 GWDVP GWDVP GWDVP PCB GWDVP1.PCB/S01 1 GWDVP GW48-CK 1 GW48-CK 10 2 GWDVP GW48-CK FPGA/CPLD GW48-CK GWDVP FPGA/CPLD GWDVP 3 GWDVP FPGA/CPLD GW48-CK 3 GW48-CK GWDVP 2 GWDVP GWDVP PCB DOS3.1 PROTEL GWDVP1.PCB GWDVP1.S01 C39=560P GWDVP EPROM 27C512 ALE 30 GWDVP ALE FPGA/CPLD CLOCK5 6 7 GWDVP CON2 CK3 GWDVP GWDVP.ASM BCD 2 2 BCD 60H GWDVP1.ASM

23 1 DIRR0 2 KKEYI P1 8 ACC ACC P1 ACC=3 P1.3 3 NL0 4 PROSD P3.5 1Hz 500KHz 7 Hz 5 DIVD1 2N 2 1N 30H 31H N=3 6 4AH 4BH 4CH 4DH 4EH 4FH 3 5DH 5EH 5FH 4DH 4EH 4FH 6 ADDMB 7 N M MULNM 8 2 BCD HEXBCD2 9 MULT3 10 N BCD M 2 BCDHEX1 11 ADDS1 12 SQR1 13 EEPROM93C46 SEPRD 00H-3FH 20H EABLE 22H LCALL SEPRD 16 21H 14 EEPROM93C46 LCALL 8 XXH H 21H 20H LCALL SEPWR LCALL DISLE 3 IC AD574J IC5 S10 10V 40PIN 7 DIP IC IC81 IC IC AD CK3 NC2 JK1 JK0 NC1 JK2 JK3 IC5 12 PT DISPLAY 4 POWER GND +5V -12V +12V 12V A/D RS1 D/A +5V VCC GWDVP V

24 V -12V +12V A/D D/A HC164 74LS HC164 8 DATA P3.0 CLOCK P3.1 DIRR0 GWDVP H 15H 14H 13H 12H 11H 10H 17H 18H 0AH 1 0AH 1 10H P1 P1 8 P1 P EEPROM 93C46 P3.2 93C46 GWDVP.ASM 8 NC2 JK1 JK0 NC1 JK3 JK2 NC2 JK1 JK0 NC1 JK3 JK2 JK3 FPGA\CPLD 8 IC10 D/A IC2 IC3 IC2 0V ~ -10V FPGA/CPLD ROM/RAM ROM 9 GWDVP FPGA/CPLD PIO8-PIO P2 AD574 8 P3.4 P3.4 PIO8-PIO15 P2.0-P2.7 P3.4 PIO8-PIO15 AD574 8 DB0- DB7 P3.4 FPGA/CPLD CLOCK3 FPGA/CPLD 10 AD574 A/D 3296 AD574 VHDL 13 LM V +10V 93C46 P3.0 P3.1 KEY1 AT89C51 KEY2 GWDVP 50MHz 12MHZ KEY3 CON1 KEY4 KEY5 CD4053 CD4053 FPGA/CPLD CD4053 KEY6 27C512/62256 AD574J KEY7 CON2 KEY8 GWDVP DAC0832 DAC D1 D2 D3 D4 D5 D6 D7 D8 CD4051 CD4052

25 IC15 P P3.3 3 CK B A P3.3 A B P3.3 P3.5-6V +6V A MDU2 IC15 AD574 10V S10 B 10V AD574 C D MDU1 D E 2 50MHz 11 FPGA/CPLD 12MHz CLOCK1 CK CK1 12MHz CLOCK0 50MHz CLOCK2 CLOCK4 12MHz CK3 ALE CLOCK5 FPGA/CPLD MOVX 12 1 P3.5 CLOCK8 CLOCK OUTPUT GND 14 1 GWDVP1.ASM ASM51B.EXE GWDVP1.OBJ AT89C51/52 GWDVP1\ISPAD1\SINAD ispexpert COPY ispexpert JED GW48-CK isplsj1032e GWDVP 2 2 P 3 2 Good bad 93C46 93C AD Hz PDF ABEL-HDL ABEL-HDL ABEL-HDL ASCII

26 A z A Z 0 9 Space (). [ ] ABEL-HDL 32 ^B1011 (= ^D11) ^O17 (= ^D15) ^D9038 (= ^D9038) ^HF0D5 (= ^D61653) ASCII in 'a' in ^h61 in 'abc' in ^h ABEL-HDL.C. ( ).K. ( ) ABEL 5-1.X..Z..P..F..D. (H-L ) ASCII.U. (L-H ) 5-1 \ \ 'It\'s an example' 'It's an esample ' * 31 * * out, OUT, out ( ) INPUT1 input1 _OUT CLK clock_d. ABEL-HDL ( SYNARIO ABEL-WIN EDITOR ), ABEL-HDL ABEL DVCE DEVICE P16V8C ; DECLARE DVCE TO BE 16V8 DVCE DEVICE P16V8C ; // DECLARE DVCE TO BE 16V8 // ( SYNARIO ABEL-WIN EDITOR

27 ) ABEL-HDL 1 :, A&B, A#B,!A, A$B!, A!$B : -A A-B A+B * A*B / A/B A%B << A<<B A B >> A>>B A B : =, =!=,!= >=, >=, >, < <=, <= (=1) (=0) (2= =3)=0 ; (3<5)=0 ; , := := F := D&F F F D A:= D$(B= =C) B=C A =!D B C A=D = = 2*4/3 & c = a & b & c & d, out := in := ABEL-HDL when then else when (select = = 0) then out = in0 ; when (select= =1) then out = in1 out = a # b # c out = a out = b out = c

28 IN [A B C D E F] ABEL IN [1 0 0] IN 5 [A1, A2, A3] = 2 A1=0 A2=1 A3=0 A B := { } {THIS IS A BLOCK} {A = B#C D = [0,1]+[1,0] A = B $ C } WHEN (M == S) THEN OT1 := IN1 ; ELSE WHEN (M==T) THEN OT1 := IN2; WHEN (M == S) THEN OT2 := 1 ; ELSE WHEN (M==T) THEN OT3 := 1 ; WHEN (M == S) THEN {OT1 := IN1 ; OT2 := 1 ;} ELSE WHEN (M == T) THEN {OT1 := IN2 ; OT3 := 1 ;} : IF (HOLD) THEN S1 WITH O1 := O1.FB ; O2 := O2.FB ; ENDWITH ELSE S2 ; IF (HOLD) THEN S1 WITH {O1 := O1.FB ; O2 := O2.FB ;} ELSE S2 ; : IF (H &!RST) THEN S1 ; IF (H & ERR) THEN S2 ; IF (!H ) THEN S3 ; : [c d] = ^B01 [c d]= ^B1 IF (H ) THEN { IF (!RST) THEN S1 ; IF (ERR) THEN S2 ;} ELSE S3 ABEL-HDL ABEL ABEL OUT [Y0 Y1 Y2 Y3] OUT = [Y0..Y3] ; AA = [0, C1, C2, Q15.. Q0] ABEL-HDL ABEL

29 ABEL-HDL ABEL ABEL // Title ABEL3.0 Declarations // module mux1 flag ' -r3 ' title ' 12to4 multiplexer ' U1 device 'P16V8C' ; a0..a3 b0..b3 s1 s0 pin y0..y3 c0 c1 c2 c3 pin H=[ ] L=[ ] X=[.x..x..x..x.] sel=[s1 s0] y=[y3..y0] a=[a3..a0] b=[b3..b0] c=[c3..c0] equations when (sel= =0 ) then y = a when (sel= =1) then y = b ; when (sel= =2) then y = c when (sel= =3) then y = c ; end mux1 test_vectors ([sel a b c ] > y ) [0 1 X X ] > 1 ; [0 10 H L ] > 10 ; [0 5 H L] > 5 ; [1 H 3 H] > 3 ; A B C module mux12to4 mux12ot4 module module END 2 flag ' -r3 ' -r3 flag -r t -r ispexpert/synario ABEL-WIN SYNARIO 3 Title ' 12to4 multipexer ' title Module module_name Flag MacroDefinitions // DeviceDefinitions // PinandnodeAssignments // ArrtibuteDeclaration // ConstantDeclaretion // BooleanEquations Truthtables StateDiagrams // // // FuseDeclarations // TestVectors Endm name // //

30 U1 device ' P16R8C ' ; U1 P16V8C device U1. JED. device device ABEL.jed EXPERT/SYNARIO ABEL-WIN 5 a0..a3 b0..b3 s1 s0 pin y0..y3 c0 c1 c2 c3 pin pin [! ] [,[! ] ]... pin [ = ' ' ] [ [ = ' ']... [ ] '! ' istype ISTYPE istype [ ] istype '[ ] ' pos reg_d D neg reg_t T eqn reg_jk jk com reg_jkd JK/D reg feed-reg feed-or pin share pos neg reg neg reg_ ( ) latch feed-pin feed-reg feed-or pin eqn fuse 6 H = [ ] L = [ ] X = [.x..x..x..x.] sel = [s1 s0] y = [y3..y0] a = [a3..a0] b = [b3..b0] c = [c3..c0] 7 equations equations EQUATIONS TRUTH_TABLES FUSES STATE_DIAGRAMS XOR_FACTORS test_vectors ( [ sel a b c ] y ) [ 0 1 X X ] 1 [ 0 10 H L ] 0 [ 0 5 H L ] 5 [ 3 H H 0 ] 0

31 8-28 -, ispexpert\synario 9 end mux1 module

32 - 29 -

33 TRUTH_TABEL [ IN ]( ) TRUTH_TABEL [ IN ]( : ) TRUTH_TABEL [ IN ]( : ) > 4 :> [ ]

34 CASE ENDCASE WITH_ENDWITH CASE ^H01 CASE ^ CASE ^ CASE CASE in = =0 : 1 in = = 1 : 2 in= = 2 : 3 in= = 3 : 0

35 ES fuses fuses fuses [ IN ] fuses fuses [ ] ' V 4/0 ' fuses [ ] ' 1999 ' ES GAL16V8 ES GAL20V8 ES GAL

36 with_endwith with( ) [ ] endwith with_endwith CASE A B GOTO 4 WITH OUT_1 1 OUT_2 0 ENDWITH A B 4 OUT_1 1 OUT_2 0

37 - 34 -

38 - 35 -

39 S / PDF ispexpert PDF EDA ispexpert, isplsi Expert WINDOWS98 ispexpert ) 10-1E

40 - 37-2) USER OK D USER D:\ISPDEMO\ WORK 3) COUNT10.SYN New.syn Unitiled ispxxxx 4) Untitled Title COUNT PROJECT( ) OK EDA isplsi1032e Choose Device ( 10-3E) EDA ABEL-HDL 10-2E EXPERT

41 - 38-2) + - 3) Sheet Add New Block Symbol New Block Symbol ( 10-6) Block Name CNT10, Tab Input Pins ( ) EN CLR CLK Tab Output Pins CAO Q3 Q2 Q1 Q0 Run ) Add Wire /

42 - 39-5) Add Symbol..., ( 10-7) 1 MISC 2 3 GATES.LIB 4 6 IOPADS.LIB 5 MUXES.LIB REGS.LIB 7 [Local] IOPADS.LIB G_INPUT G_INPUT CLK G_OUTPUT ( 10-7) EN CLR Edit Delete Edit Undo Move Ctrl R Edit Move 6) Add Net Name Net Name-Enter Name = VCC VCC EN EN GND CLR CLR CLR EN( ) CLR( ) 7) Add Net Name CK CLK IOPAD G_INPUT COUT QQ3 QQ2 QQ1 QQ0 8) Add Marker Input CK ( ) Mark MAR... Output Marker 10-8 File Save 10-8

43 - 40-9) (Attributes) Lock Pad ( G_INPUT G_OUPUT ) Marker Marker ISP ISP Pad ror Report 11) Add Symbol... MISC Dataio Add Text Text-Enter Text = AO, C. Synario/Expert Project Navigato Options All Schematic INI editor Controls Sheet Sizes Sheet D Word Edit Copy Image ( ) Windows Word ABEL-HDL COUNT10.SCH CNT10? CNT10 ABEL-HDL 1) CNT New Source ABEL-HDL Module OK New ABEL-HDL Source Module CNT10 File CNT10 OK CNT10 Module ABEL 2) Text Editor ABEL 10 (ABEL )

44 MODULE CNT10 EN CLK CLR PIN // EN CLR. CAO PIN ISTYPE COM // Q3.. Q0 PIN ISTYPE REG //. COUNT = [Q3..Q0] // ---DECLARATIONS EQUATIONS COUNT.CLK = CLK // CAO = Q0 &!Q1 &!Q2 & Q3 // WHEN (COUNT >= 9 ) THEN COUNT : = 0 ELSE COUNT : = COUNT.FB + 1 END ABEL-HDL *.abl File Insert Insert File *.abl ABEL isplsi1032e-70lj84 MODULE CNT10 EN CLK CLR PIN // EN CLR. CAO PIN 34 ISTYPE COM ; // Q3..Q0 PIN ISTYPE REG //. COUNT = [Q3..Q0] // ---DECLARATIONS EQUATIONS COUNT.CLK = CLK // CAO = Q0 &!Q1 &!Q2 & Q3 // WHEN (COUNT >= 9 ) THEN COUNT : = 0 ELSE COUNT : = COUNT.FB + 1 END 3) COUNT := (COUNT.FB + 1)&(COUNT < 9 ) CAO =...!CAO=...? ) (Simulation Test Vectors) ( 10-10) ( isplsi1032e-70lj84) Source New New Source ABEL Test Vectors OK New File COUNT OK 2) 10-11S SYNARIO

45 File Save File Exit 3) MODULE CNT10 A ABEL-HDL *.abl CK COUT QQ3..QQ0 PIN ; OUT1 = [COUT QQ3..QQ0] ; B TEST_VECTORS ( CK > [ OUT1]) Marker Net 36 {.C. > [.X.] ; } C END D.X..C F *.abv 1 Net Name Marker 2 4) ( ABEL ) Processes for Current Source Compile 5) count(count.sch) Processes for Current Source Reduce Schematic Logic 10-12S SYNARIO

46 - 43-6) CNT10.ABL cnt10(cnt10.abl) Reduce Logic cnt10.abl 7) Expert 8)

47 - 44 -

48 Design 1) Fit PLD automake.log Warnings Log warnings 4) ispds+ Fitter Report warnings ISP EDA EDA EDA EDA EDA CPLD FPGA 1 EDA ISP EDA 2 isp Download System LSC ISP Daisy Chain Download Version ISP JED 3 Configuration Scan Board( ) Scan

49 ISP Browse ( 10-19) JED count10.jed OK( ) Command Run Operation ( ) JED ISP PASS EDA EDA GW48 isplsi1032e GW48 GW Project Navigator count.sch CNT10 isp1032e GW48 NO E IO GW48 PIO 1032E IO GW48 PIO CK QQ0 QQ1 QQ2 QQ3 COUT 33(IO7 80(IO44) 81(IO45) 82(IO46) 83(IO47) 34(IO8) 2 Fit Design ( Fit Design Properties Defaults) 3 GW48 5 GW48 NO.5 8 ISP1032 IO7 1032E (BCD ) D1 9 ( ) 4 cnt10.abl XCOPY ISPDEMO ISPLSI 1 GW48 EDA \ 2 3 WINDOWS EXPERT 4 DOWNLOAD JED GW48 5 GW48 6 EXPERT GW48 XCOPY 11-1

50 EDA ISPLSI1032E P 13-1 P E XCS05 3 P51 29 PIO ISPDEMO ABCD NO.6 7 ( ) 5 a b c y z a b 8 D15 NO a b c d e f g C ^HB MODULE ABCCNT CLK PIN; G, F, E, D, C, B, A PIN ISTYPE 'REG' ; EQUATIONS COUNT.CLK = CLK ; STATE_DIAGRAM [ G, F, E, D, C, B, A ] STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; STATE ^B : GOTO ^B ; END MODULE ADD6 A4..A1, B4..B1, C0 PIN; C1, S4..S1 PIN ISTYPE 'COM '; AA = [ 0,A4..A1] ; BB = [ 0,B4..B1] ;

51 CC = [ 0, 0, 0, 0, 0 ] ; SS = [C1, S4..S1] ; EQUATIONS SS = AA + BB + CC ; END ISPDEMO ADDC NO.1 NO A B CC1 D8 8 CC0 CC0= SHIFT P41 A8 P40 A7 P39 A6 P38 A5 P37 A4 QQ P36 A3 P35 A2 P34 A1 CLK LOAD I_40 I_39 I_43 EN CCLK CLOK EAL I_37 SHIFTL Q16 Q15 8 Q14 P33 P32 B8 B7 Q13 Q12 P31 B6 Q11 P30 B5 Q10 P29 B4 Q9 P28 B3 Q8 P27 B2 Q7 P26 B1 Q6 CLK LOAD Q5 Q4 Q3 Q2 Q1 I_42 CLK0 4 ENABLE 49 6 CLOKK 16 ANDL AND BB16 BB15 BB14 BB13 BB12 BB11 BB10 BB9 O16 O15 O14 O13 O12 O11 O10 O9 O8 BB8 O7 BB7 O6 BB6 O5 BB5 O4 BB4 O3 BB3 O2 BB2 O1 BB1 I_38 ADD A16 A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 B16 S16 S15 S14 S13 S12 S11 S10 S9 S8 B15 S7 B14 S6 B13 S5 B12 S4 B11 S3 B10 S2 B9 S1 B8 B7 B6 B5 B4 B3 B2 B1 I_ LATCH16 D16 D15 D14 Q16 Q15 D13 Q14 D12 Q13 D11 Q12 D10 Q11 D9 Q10 D8 Q9 D7 Q8 D6 Q7 D5 Q6 D4 Q5 D3 Q4 D2 Q3 D1 Q2 CLK Q1 CLR I_3 P60 P59 P58 P57 P56 P55 P54 P53 P52 P51 P50 P49 P48 P47 P46 P45 16 NO ISPDEMO MULTI NO ( 2 1) ( 4 3) 3 ISP1031E CLK0(PIN 6) 1HZ 16HZ 16384HZ 4 8

52 ABEL Moore 11-4 A B 11-4 MODULE CHK title 'SERIAL NUMBER CHECKER' DIN,CLK,CLR,D7..D0 PIN ; Q3,Q2,Q1,Q0 NODE ISTYPE 'REG'; AB3..AB0 PIN ISTYPE 'COM' ; SS = [Q3,Q2,Q1,Q0] ; AB = [AB3..AB0] ; EQUATIONS SS.CLK = CLK ; SS.CLR= CLR ; STATE_DIAGRAM SS STATE 0 : AB=^HB ; IF (DIN == D7) THEN 1 ELSE 0 ; STATE 1 : AB=^HB ; IF (DIN == D6) THEN 2 ELSE 0 ; STATE 2 : AB=^HB ; IF (DIN == D5) THEN 3 ELSE 0 ; STATE 3 : AB=^HB ; IF (DIN == D4) THEN 4 ELSE 0 ; STATE 4 : AB=^HB ; IF (DIN == D3) THEN 5 ELSE 0 ; STATE 5 : AB=^HB ; IF (DIN == D2) THEN 6 ELSE 0 ; STATE 6 : AB=^HB ; IF (DIN == D1) THEN 7 ELSE 0 ; STATE 7 : AB=^HB ; IF (DIN == D0) THEN 8 ELSE 0 ; STATE 8 : AB=^HA ; IF (DIN == 0) THEN 9 ELSE 0 ; STATE 9 : AB=^HA ; GOTO 0 ; STATE 10 : AB=^HB ; GOTO 0 ; STATE 11 : AB=^HB ; GOTO 0 ; STATE 12 : AB=^HB ; GOTO 0 ; STATE 13 : AB=^HB ; GOTO 0 ; STATE 14 : AB=^HB ; GOTO 0 ; STATE 15 : AB=^HB ; GOTO 0 ; END ISPDEMO SCHEK1 NO a

53 B 4 6(CLK) B A a 8 LD D 11-5a CNT MODULE CNT10 CLK1, LD, D7..D0 PIN; CAO PIN ISTYPE 'COM'; Q7..Q0 NODE ISTYPE 'REG'; COUNT = [ Q7..Q0 ] ; DD = [ D7..D0 ] ; EQUATIONS COUNT.CLK = CLK1 ; COUNT := (COUNT.FB + 1) &!LD # LD & DD ; CAO = (COUNT == ^HFF) ; END ISPDEMO DIVF2 NO ( 2 1) ( 4 3) F=12MHZ 6MHZ 3MHZ CLK9(12) 32(68) 50 5 CLK9 3MHZ : 11-5b A CLK CAO HALFFOUT B CLK HALF FOUT C HALF 1 HALF D FOUT D C HALF HALF FOUT E 11-5b : 11-6 MODULE CNT10 CLK1, LD, D7..D0 PIN; CAO, HALF PIN ISTYPE 'COM'; Q7..Q0 NODE ISTYPE 'REG'; COUNT = [Q7..Q0] ; DD = [D7..D0] ; EQUATIONS COUNT.CLK = CLK1 ; COUNT := (COUNT.FB + 1) &!LD # LD & DD ; CAO = (COUNT == ^HFF) ; HALF = ( COUNT == [1, D7, D6, D5, D4, D3, D2,D1] ) ; END

54 EDA/VHDL 2.1 VHDL a b s 2-1 LIBRARY IEEE; y IEEE USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux21 IS mux21 PORT ( a b : IN STD_LOGIC; s 0 y a s 1 PORT s : IN STD_LOGIC; y b y : OUT STD_LOGIC ); END ENTITY mux21; mux21 ARCHITECTURE one OF mux21 IS VHDL, BEGIN 2 1 y <= a WHEN s = '0' ELSE b WHEN s = '1' ; END ARCHITECTURE one; mux21 VHDL VHDL EDIF ALTERA EPM7128S 2-1 EPM7128S HARDWARE DEBUG EDA MUX+PLUSII( 12 ) VHDL mux21 4 a b s y MUX+PLUSII 2-1 FPGA CPLD mux VHDL VHDL (1) (LIBRARY) IEEE STD_LOGIC_1164 VHDL VHDL (2) (ENTITY) mux21 mux21 a b s y PORT mux21 PORT IN a b

55 a b OUT y a b s y IEEE STD_LOGIC_1164 STD_LOGIC (3) (ARCHITECTURE) LIBRARY IEEE ; mux21 USE IEEE.STD_LOGIC_1164.ALL; ENTITY or22 IS PORT (a,b :IN STD_LOGIC; c : OUT STD_LOGIC ); END ENTITY or22 ARCHITECTURE fu1 OF or22 IS BEGIN c <= a OR b ; END ARCHITECTURE fu1; <= -- LIBRARY IEEE; y USE IEEE.STD_LOGIC_1164.ALL; <= a a ( ENTITY h_adder IS PORT (a b : IN STD_LOGIC; )y co, so : OUT STD_LOGIC); 2-1 END ENTITY h_adder ARCHITECTURE fh1 OF h_adder IS END ENTITY BEGIN mux21 END ARCHITECTURE so <= (a OR b)and(a NAND b); co <= NOT( a NAND b); one VHDL END ARCHITECTURE fh1; IEEE STD 1076_ LIBRARY IEEE; VHDL 87 IEEE USE IEEE.STD_LOGIC_1164.ALL; STD 1076_1987 ENTITY f_adder IS PORT (ain bin cin : IN STD_LOGIC; END mux21 cout sum : OUT STD_LOGIC ); END one END ENTITY f_adder; ARCHITECTURE fd1 OF f_adder IS EDA VHDL COMPONENT h_adder VHDL'87 PORT ( a b : IN STD_LOGIC; VHDL co so : OUT STD_LOGIC); END COMPONENT VHDL 87 COMPONENT or22 PORT (a b : IN STD_LOGIC; 2-2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY Latch IS PORT D : IN STD_LOGIC; ENA : IN STD_LOGIC; Q : OUT STD_LOGIC ); END ENTITY Latch ARCHITECTURE one OF Latch IS SIGNAL sig_save : STD_LOGIC; BEGIN PROCESS (D, ENA) BEGIN IF ENA = '1' THEN sig_save <= D ; END IF ; Q <= sig_save ; END PROCESS ; END ARCHITECTURE one; c : OUT STD_LOGIC); END COMPONENT SIGNAL d e f : STD_LOGIC; BEGIN u1 : h_adder PORT MAP( a =>ain b =>bin co=>d so =>e); u2 : h_adder PORT MAP( a =>e b =>cin co =>f so =>sum); u3 : or22 PORT MAP(a =>d b =>f c =>cout); END ARCHITECTURE fd1 ; VHDL VHDL IEEE 2-1 VHDL

56 VHDL VHDL 2-1 VHDL VHDL VHDL VHDL HDL 2 1 VHDL 2-2 D ENA ENA Q 2-2 VHDL (1) SIGNAL SIGNAL sig_save D (2) PROCESS (D, ENA) END PROCESS D ENA (VHDL ) ENA D sig_save sig_save Q ENA sig_save Q IF_THEN VHDL IF sig_save <= D END IF IF_THEN PROCESS VHDL VHDL PROCESS(D ENA) (D ENA) D ENA ( ) ( ) VHDL VHDL VHDL ABEL COM REG

57 a b 2.2 VHDL so co h_adder f_adder 3 u1 u2 u3 2-3 VHDL 2-4 VHDL EDA, 2-3 EDA VHDL 2 f_adder VHDL or2.vhd h_adder.vhd f_adder.vhd 2-3 (1) -- VHDL -- (2) or2 or2 a b ( ) c ( ) a b c (3) h_adder fh1 2-3 ( 2-1) VHDL NAND NOT OR AND (4) VHDL f_adder ain bin cin cout sum 1 fd1 COMPONENT COMPONENT or2 h_adder 2-4

58 (5) fd1 COMPONENT END COMPONENT (Component Declaration) SIGNAL d e f PORT MAP( ) (Component Instantiation) MAP u2 h_adder a b co so e cin f sum => (6) 2-3 f_adder IEEE IEEE.STD_LOGIC_1164.ALL VHDL VHDL 2-1 H_ADDER a b so co VHDL WORK VHDL File D: ADDER 2 MAX+PLUSII File New OK File Type Graphic editor 3 Enter Symbol Symbol Libraries d: maxplu2 Symbol Files and2 or2 NAND2 not Enter Symbol Enter Symbol Symbol Name input OK 5 4 output PIN-NAME New a

59 b co so As 7 File Save D adder.gdf 8 MAX+PLUSΠ Compiler Compiler 1 File Project Name Cnt4.vhd Project Name D: File adder.gdf 2 Assign --> Device Cnt4.vhd Flex10k 12-3 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; EPF10KLC84-3 ENTITY DecL7S IS PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; LED7S : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ; OK END ; Compiler ARCHITECTURE one OF DecL7S IS BEGIN Start PROCESS( A ) BEGIN CASE A(3 DOWNTO 0) IS WHEN "0000" => LED7S <= " " ; -- X 3F 0 WHEN "0001" => LED7S <= " " ; -- X 06 1 Processing WHEN "0010" => LED7S <= " " ; -- X 5B 2 WHEN "0011" => LED7S <= " " ; -- X 4F 3 Fitter Settings WHEN "0100" => LED7S <= " " ; -- X 66 4 Use WHEN "0101" => LED7S <= " " ; -- X 6D 5 WHEN "0110" => LED7S <= " " ; -- X 7D 6 Quartus Fitter... WHEN "0111" => LED7S <= " " ; -- X 07 7 OK Start WHEN "1000" => LED7S <= " " ; -- X 7F 8 WHEN "1001" => LED7S <= " " ; -- X 6F 9 WHEN "1010" => LED7S <= " " ; -- X WHEN "1011" => LED7S <= " " ; -- X 7C 11 WHEN "1100" => LED7S <= " " ; -- X WHEN "1101" => LED7S <= " " ; -- X 5E 13 WHEN "1110" => LED7S <= " " ; -- X WHEN "1111" => LED7S <= " " ; -- X WHEN OTHERS => NULL ; END CASE ; END PROCESS ; END ;

60 Assign Pin / Location LIBRARY IEEE ; / Chip Node Name USE IEEE.STD_LOGIC_1164.ALL ; ENTITY CNT4 IS a Pin Type PORT ( CLK : IN STD_LOGIC ; Input a Q : BUFFER INTEGER RANGE 0 TO 15); END ; PIN ARCHITECTURE one OF CNT4 IS BEGIN 5 Add PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' b co so THEN Q <= Q + 1 ; END IF; OK 4 END PROCESS ; END ; EDA 10 NO Start 13 1 File New Waveform Editor file OK 2 Node Enter Nodes from SMF List a b co so OK 3 b 1 a OK 4 File Save adder.scf D: 5 MAX+PLUSΠ Simulator Start 14 Options 1 " MAX+PLUSΠ " Programmer OK b Hardware Setup 2 Configure Programmer ByteBlaster (MV) 3 NO.5 1 a 2 co D1 so D2 Altera MAX+plus II VHDL MAX+plus II EDA MAX+plus II VHDL Verilog EDIF MAX+plusII MAX+plusII EDIF VHDL Verilog MAX+plusII EDA Synopsys Cadence Synplicity Mentor Viewlogic Exemplar Model Technology MAX+plusII APEX20K Altera FPGA/CPLD

61 Cnt4.vhd 12-5 Cnt4.vhd 4 VHDL File New Text Editor file OK Untitled - Text Editor File Save Directories D:\MAXVS\GUIDE Verilog Cnt4 Cnt4 File Create Default Symbol MAX+plusII Cnt4 MAX+plusII 12-2 CLK PIN 23 PIO13 8 LED7S7 PIN 38 PIO23 D8 LED7S6 PIN 78 PIO46 g LED7S5 PIN 73 PIO45 f LED7S4 PIN 72 PIO44 e LED7S3 PIN 71 PIO43 d LED7S2 PIN 70 PIO42 c LED7S1 PIN 67 PIO41 b LED7S0 PIN 66 PIO40 a File Name Cnt4.vhd OK D:\MAXVS\GUIDE MAX+plusII.VHD VHDL.TDF AHDL.V 12-23

62 Cnt4.vhd Cnt Decl7s.vhd Decl7s.vhd Decl7s.vhd 1. Cnt4.vhd D:\MAXVS\GUIDE 3 TOP.GDF TOP.GDF 1 2 Cnt4.vhd Decl7s.vhd File New Graphic Editor File OK Graphic Editor Graphic Editor (1) Graphic Editor Enter Symbol Symbol Name VHDL OK Symbol Files Cnt4 Decl7s Symbol Libraries d:\maxvs\guide VHDL VHDL VHDL OK

63 Cnt4 Decl7s INPUT OUTPUT prim e:\maxplus2\max2lib\prim Symbol Files INPUT OUTPUT Symbol Name INPUT OUTPUT MAX+plusII INPUT OUTPUT (2) / / LED7S[7..0] 8 Options Line Style (3) / INPUT OUTPUT TOP.GDF CLK LED7S[7..0] LED7S[7..0] VHDL LED7S7 LED7S0 LED7S7 AHDL VHDL LED7S File Save TOP.GDF, File Name 4 TOP.GDF TOP.GDF Project File Project Set Project to Current

64 File TOP Assign Device Device Family FLEX10K Devices EPF10K10LC OK MAX+plus II Compiler START Assign Pin/Location/Chip Node Name Pin: Add LED7S[7..0] LED7S7 LED7S6 LED7S OK GW48 1 NO.6 CLK 8 LED7S7 D8 LED7S6 LED7S0 PIO46 PIO40 7,MAX+plus II Compiler VHDL Interfaces VHDL Netlist Reader Settings VHDL VHDL Assign Global Project Logic Synthesis Area TOP Optimize Speed CPLD MAX Device Synthesis Options Define Synthesis Style Style Normal Minimization Full Slow Slew Rate

65 S XOR Synthesis OK Assign Global Project Device Options Security Bit Enable JTAG Suport JTAG OK Compiler Start Fitter rpt 5 TOP MAX+plusII File New New Waveform Editor file OK Node Enter Nodes from SNF List => OK CLK 7 LED7S[7..0] Cnt4:1 Q File Save top.scf SNF CLK CLK Value CLK CLK OK OPTIONS Grid Size Simulator MAX+plusII 200ns Simulator Start errors 0 warnings File End Time 5 s OK MAX+plusII Simulator Simulator End Time 5 s

66 File Open Open Waveform Editor Files Files top.scf 0 1 X Z INV G 6 TOP ADDER4B.vhd LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; MAX+plus USE IEEE.STD_LOGIC_UNSIGNED.ALL; II ENTITY ADDER4B IS Programmer PORT ( CIN : IN STD_LOGIC ; A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); B : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; Programmer S : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ; ( 12-30) COUT : OUT STD_LOGIC ); END ADDER4B ; FPGA ARCHITECTURE behav OF ADDER4B IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0) ; SIGNAL AA,BB : STD_LOGIC_VECTOR(4 DOWNTO 0) ; BEGIN AA<='0'&A ; GW48 BB<='0'&B ; SINT <= AA + BB + CIN ; 1 S <= SINT(3 DOWNTO 0) ; COUT <= SINT(4) ; END behav ; FLEX10K 10K10 Configure 10K10 Configuration Complete F 7 VHDL GDF FPGA Configure CPLD EPM7128S Program Configure CLK 42 1/2 10K10 42 Clock1 Clock1 8Hz 4Hz 2Hz 1Hz File Open Open Graphic Editor top.gdf Assign Pin/Location/Pin CLK Pin 42 Change OK MAX+plusII Compiler Start

67 OK ADDER8B.vhd MAX+plus II LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY ADDER8B IS MAX+plusII PORT ( CIN : IN STD_LOGIC ; A : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; B : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; S : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ; COUT : OUT STD_LOGIC ); END ADDER8B ; FLEX ISP MAX ARCHITECTURE struc OF ADDER8B IS COMPONENT ADDER4B -- ADDER4B ByteBlaster PORT ( CIN : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; B : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; ByteBlaster S : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ; COUT : OUT STD_LOGIC ) ; END COMPONENT ; SIGNAL CARRY_OUT: STD_LOGIC;-- 4 BEGIN U1 : ADDER4B-- 4 U1 PORT MAP(CIN=>CIN, A=>A(3 DOWNTO 0), Programmer B=>B(3 DOWNTO 0),S=>S(3 DOWNTO 0), Options COUT=>CARRY_OUT ) ; Hardware U2 : ADDER4B -- 4 U2 PORT MAP(CIN=>CARRY_OUT, A=>A(7 DOWNTO 4), Setup Hardware B=>B(7 DOWNTO 4),S=>S(7 DOWNTO 4),COUT=>COUT); Type END struc ; ByteBlaster CPLD VHDL 4 8 GW48-CK NO.1 1 A[7..0] PIO7 PIO0; B[7..0] PIO15 PIO8; S[7..0] PIO23 PIO16

68 A B PIO39 D8 8 CIN PIO49 VHDL GW48-CK EDA VHDL Hjwang@uestc.edu..cn H O CIN A[7..0] B[7..0] A[7..0] B[7..0] A[3..0] B[3..0] A[7..4] B[7..4] CIN A[3..0] B[3..0] CIN A[3..0] B[3..0] ADDER4B S[3..0] COUT ADDER4B S[3..0] COUT S[3..0] S[7..4] S[7..0] S[7..0] COUT VCCINT GNDINT MSEL0 MSEL1 TDO 45/CLKUSR /RDYnBSY INIT_DONE GNDINT VCCINT TMS ntrst nstatus /DATA1 5/DATA2 4/DATA3 3/DATA4 2/DATA5 1/DATA6 0/DATA7 VCCINT DEV_CLRn IN1 GCLCK1 IN4 DEV_OE GNDINT 49/nRS 48/nWS 47/CS 46/nCS TCK CONF_DONE nceo DATA0 DCLK nce TDI ALTERA FLEX EPF10K10LC84 84-PIN PLCC VCCINT nconfig VCCINT GNDINT IN2 GCLK2 IN3 VCCINT GNDINT ALTERA EPF10K10-PC84

69 RDYnBSY ALTERA 144-PIN TQFP 77 EPF10K30A INPUT3"CLOCK2" INPUT GCLOCK2 60 "CLOCK1" "CLOCK8" INPUT1 INPUT2 GCLOCK1 "CLOK0" "CLOCK10" "CLOCK7" "CLOCK6" VCCIO GNDIO VCCIO GNDINT GNDINT VCCINT VCCINT GNDIO VCCIO GNDIO "CLOCK5" "CLOCK4" "CLOCK3" "CLOCK9" "SPKER" EPF10K20 Total User GNDIO GNDIO GNDIO GNDIO GNDINT GNDINT GNDINT VCCIO VCCIO VCCIO VCCIO VCCINT VCCINT VCCINT VCCINT DEV_OE DEV_CLRn TMS DATA0 DATA1 DATA2 DATA3 DATA4 DATA5 TDI nrs nws CS ncs DATA7 DATA6 nce DCLK nconfig nstatus MSEL1 MSEL0 GNDINT GNDIO INIT_DONE CLKUSR VCCINT VCCIO TDO nceo CONF_DONE TCK EP1K30/50 GND VCC /GTS1 /GTS2 /GCK1 /GCK2 /GSR VCC VCC GND TDO TCK TMS TDI XC9536PC44 XILINX GND GCK3 LATTICE 44-PIN PLCC isplsi2032/e PLSI1016/E isplsi1016/e SDI/IN0 ISPEN VCC Y GND SDO/IN SCLK/Y2 VCC Y1/RESET MODE/IN IN3 GND

70 ATMEL 84-PIN PLCC ATF1508AS EPM7160S EPM7128S EPM7096S EPM7064S ALTERA TDO IO TCK TMS TDI Note: Pin 6,39,46 and 79 are no-connect pins IN4/OE2/GCLK2 IN3/GCLRn IN2/OE1 IN1/GCLK1 VCCIO VCCIO VCCIO VCCINT VCCIO VCCIO VCCIO VCCINT on EPM7096S and EPM7160S GND GND GND GND GND GND GND GND /GCK3 9 8/GCK2 7/GCK /GTS /GTS1 59/GSR XC95108PC84 XC9572PC84 84-PIN PLCC XILINX GND VCCINT GND GND VCCINT GND GND GND VCCIO VCCIO VCCINT TMS TDO TDI TCK GWDD6-C

71 V GWDD6-C GWDD6C 10 GWDD6C +5V 3 GWL VHDL IN7 Y0 VCC GND ISPEN RESET SDI/IN IN6 GND IN5 LATTICE isplsi1032/e PLSI1032/E 84-PIN PLCC MODE/IN1 GND SDO/IN IN4 Y1 VCC GND Y2 Y3 IN3/SCLK

72 - 69 -

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT 作 者 : 楊 哲 彰 (2005-02-23); 推 薦 : 徐 業 良 (2005-02-28) 附 註 : 本 文 為 元 智 大 學 機 械 系 自 動 化 機 械 設 計 課 程 教 材 Protel 電 腦 輔 助 電 路 設 計 軟 體 入 門 (2) PCB 製 作 與 輸 出 在 先 前 的 文 件 中, 介 紹 了 Protel 99 SE 的 基 本 操 作, 並 且 以 穩

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

DR2010.doc

DR2010.doc DR/2010 HACH 11-8-96-2 HACH. DR/2010, / UL E79852 CSA C22.223 LR 58275 VDE GS 1015-92 FCC"A" 15 : AMADOR CORP, HACH. EN50 011/CISPR 11 "B" (EMI)/89/336/EEC/EMC: AMADOR CORP, HACH.. EN50 082-1( )/89/226/EEC

More information

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 WV-CU950/G WV-CU650/G CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 S3125A 3 4 5 6 7 8 9 #9 $0 #8 $1 $2 $3 r q w e t $4 i u!0 y WV-CU950!1!3!4!7!6!5!8 @0!9 @3 @2 @1!2 o ALARM ACK ALM RESET ALM SUSPEND ALM

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

User’s Manual

User’s Manual V7 用 户 手 册 亿 图 为 您 专 业 图 表 设 计 提 供 最 佳 解 决 方 案 2004-2014 EdrawSoft. All right reserved. Edraw and Edraw logo are registered trademarks of EdrawSoft. 目 录 亿 图 怎 样 优 越 于 其 他 软 件... 5 亿 图 7 个 新 功 能... 6 为

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

c-AR64SH-102

c-AR64SH-102 Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD GBT ( ) GBT GBT, GBT 2002 3 15 1 1 11 3 12 3 2 21 4 22 5 23 6 3 31 Win 98/ 98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 14 315 14 316 18 32 Windows NT 40

More information

ch08.PDF

ch08.PDF 8-1 CCNA 8.1 CLI 8.1.1 8-2 8-3 8.1.21600 2500 1600 2500 / IOS 8-4 8.2 8.2.1 A 5 IP CLI 1600 2500 8-5 8.1.2-15 Windows 9598NT 2000 HyperTerminal Hilgraeve Microsoft Cisco HyperTerminal Private Edition (PE)

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

!!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 "

!!# $ %# & $$ % $()! *% $!*% +,-. / 0 %%# 0 $%1 0 * $! $#)2 ! """"""""""""""""""" " !!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 " !"#$%#$&!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%& (& #) *+&,"-./%0 1 2"0*-"3* #4 5%&6&4"&00 78 9+& :"/;& 7< 9+& =#4-%%/

More information

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更 AX5000 Version 1.0 2006 年 9 錄...1 說...2 說...3...4 說...5 六...6 6.1 率...7 6.2 POST PAY...8 6.3 PREPAY DEPOSIT...9 6.4...10 6.5...11 更...12...12 LCD IC LED Flash 更 兩 RJ11 ( ) DC ON OFF ON 狀 狀 更 OFF 復 狀 說

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

untitled

untitled \ \ \ DOP11B 06/2011 16929837 / ZH SEW-EURODRIVE Driving the world 1 5 1.1 5 1.2 5 1.3 6 1.4 6 1.5 6 1.6 6 1.7 6 2 7 2.1 7 2.2 7 2.3 8 2.4 8 2.5 8 2.6 9 2.7 / 11 2.8 11 2.9 11 2.10 11 2.11 12 3 (DOP11B-10

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2 EUTECH INSTRUMENTS αlpha-ph800 ph/orp / 68X216813 03/99 0 αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH 1999 1.0 Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/24,

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

36 2013 6 29 2012 10 24 2012 12 1 93 1998 10 25 94 95 2001 12 12 96 2007 4 15 97 (1) 200.0 50.0 100.0 500.0 98 49% 5% 20% 25% 25% 25% 25% H 598,100,000 H 59,810,000 657,910,000 H 25.0% 10 2010 9 19 5%

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

8idml_20_1_q

8idml_20_1_q Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD GBT ( ) GBT GBT, GBT 2002 3 15 1 1 11 3 12 AP64D(-H) 3 2 21 4 22 5 23 6 3 31 Win 98/98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 14 315 14 316 18 32 Windows

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information