L18 CPU III

Size: px
Start display at page:

Download "L18 CPU III"

Transcription

1 Lecture 23: CPU Design (Multiple Cycle)

2 多周期处理器的设计 主要内容 多周期数据通路实现思想 单周期数据通路和多周期数据通路的差别 通过简要分析 LOAD 指令分阶段执行过程, 以加深理解单周期和多周期数据通路的差别 多周期通路中存储单元的 竞争 问题及其解决思路 详细分析 7 条指令在多周期通路中的执行过程 在分析执行过程基础上, 分析每个周期内控制信号的取值, 生成相应的状态 综合生成所有指令的状态转换图 根据状态转换图, 生成控制器输出的逻辑表达式 根据逻辑表达式, 用 PLA( 硬布线 ) 实现控制逻辑

3 Drawback of Single Cycle Processor 单周期处理器的 CPI 为, 所有指令执行时间都以最长的 load 指令为准 最长指令时间为 : Cycle time must be long enough for load instruction PC s Clock -to-q + Instruction Memory Access Time + Register File Access Time + Delay (address calculation) + Data Memory Access Time + Register File Setup Time + Clock Skew 时钟周期远远大于其他指令实际所需的执行时间, 效率极低 R-type 指令 立即数运算指令不需要读内存 Store 指令不需要写寄存器 分支指令不需要访问内存和写寄存器 Jump 不需要 运算, 不需要读内存, 也不需要读 / 写寄存器

4 多周期处理器的实现思想 单周期处理器的问题根源 : 时钟周期以最复杂指令所需时间为准, 太长! 解决思路 : 把指令的执行分成多个阶段, 每个阶段在一个时钟周期内完成 - 时钟周期以最复杂阶段所花时间为准 - 尽量分成大致相等的若干阶段 - 规定每个阶段最多只能完成 次访存或寄存器堆读 / 写或 每步都设置存储元件, 每部执行结果都在下个时钟开始保存到相应单元 多周期处理器的好处 : 时钟周期短 不同指令所用周期数可以不同, 如 : - Load: five cycles - Jump: three cycles( 前两个都一样 ) 允许功能部件在一条指令执行过程中被重复使用 如 : - Adder + ( 多周期时只用一个, 在不同周期可重复使用 ) - Inst. / Data mem( 多周期时合用, 不同周期中重复使用 )

5 多周期数据通路 指令 MDR 只有一个 一个 Memory 多处增加 MUX 和临时寄存器

6 lw 指令的执行时间最长, 它所花时间作为时钟周期时钟周期 Clk PC Old Value Rs, Rt, Rd, Op, Func ctr Clk-to-Q New Value Old Value Old Value Instruction Memory Access Time New Value Delay through Control Logic New Value PC+4 PC PC+4 ExtOp Old Value New Value Src Old Value New Value MemtoReg Old Value New Value RegWr Old Value New Value busa busb Old Value Delay through Extender & Old Value Register Write Occurs Register File Access Time New Value New Value Delay Addres Old Value New Value s Data Memory Access Time busw Old Value New

7 Load 指令各阶段分析 取指令阶段 执行一次存储器读操作 读出的内容 ( 指令 ) 保存到寄存器 IR( 指令寄存器 ) 中 IR 的内容不是每个时钟都更新, 所以 IR 必须加一个 写使能 控制 在取指令阶段结束时, 的输出为 PC+4, 并送到 PC 的输入端, 但不能在每个时钟到来时就更新 PC, 所以 PC 也要有 写使能 控制 译码 / 读寄存器堆阶段 经过控制逻辑延迟后, 控制信号更新为新值 执行一次寄存器读操作 读出的内容 ( 操作数 ) 保存到临时寄存器 A 和 B 中 每个时钟到来时,A 和 B 中的值都要更新, 所以不需 写使能 控制 对 6 位立即数进行符号扩展后, 送到 的 B 口的多路选择器 地址生成阶段 ( 运算 ) 的 A 口和 B 口的多路选择器在相应控制信号控制下选择操作数进行加法运算, 输出结果在下个时钟到达时, 保存到临时寄存器 BranchTarget (out) 中 读存储器阶段 由 out 作为地址访问存储器, 读出数据, 保存在临时寄存器 MDR 中 写结果到寄存器 把 MDR 中的内容写到寄存器堆中

8 寄存器堆和存储器的写定时 ( Ideal vs. Reality) 单周期机器中, 寄存器组和存储器被简化为理想的 : 时钟边沿到来时, 才进行写 时钟边沿到来之前, 地址 数据和写使能都已经稳定 实际机器中, 寄存器组和存储器的情况为 : 寄存器有时钟输入, 存储器没有时钟输入 写操作不是由时钟边沿触发, 是组合电路, 其过程为 : - 写使能 (WE) 为, 并且 Din 信号已稳定的前提下, 经过 Write Access 时间,Din 信号被写入 Adr 处 重要之处 : 地址和数据必须在写使能为 前稳定因此, 存在地址 Adr 数据 Din 和写使能 WrEn 信号的 竞争 问题! WrEn Adr Ideal Memory DinDout Clk WrEn Adr Real Memory DinDout

9 竞争 (race) 问题 Register File( 寄存器组 ): 实际寄存器组在单周期通路中不能可靠工作这是因为 : 不能保证 Rw 在 RegWr = 之前稳定即在 Rw 和 RegWr 之间存在 race Ra RegWr Rb busa Reg File Rw busb busw Memory( 存储器 ): 实际存储器在单周期通路中也不能可靠工作这是因为 : 不能保证 Adr 在 WrEn = 之前稳定即 : 在 Adr 和 WrEn 之间存在 race Adr WrEn Real Memory Din Dout

10 如何在多周期通路中避免 race 问题 多时钟周期中解决 竞争 问题的方案 确认地址和数据在第 N 周期结束时已稳定 使写使能信号在一个周期后 ( 即 : 第 N+ 周期 ) 有效 在写使能信号无效前地址和数据不改变 Ra RegWr Rb busa Reg File Rw busb busw Adr WrEn Real Memory Din Dout Race 问题有时会导致机器神秘出错, 甚至崩溃!

11 取指周期 ( 取指令 计算下地址 ) 开始时 在一个时钟到来的下降沿开始取指令周期的任务 : M[PC] ; PC PC + 4 Clk You are here! PCWr=? One Logic Clock Cycle Clk PC 下个时钟到达时,PC 和 IR 的输入端应是什么? 能否每个时钟更新 PC 和 IR? PC 和 IR 怎样在必要时更新? 加 写使能 控制! MemWr=? Adr Real Memory Dout Din IRWr=? I R 4 op=? Control Clk 控制信号 PCWr=?, MemWr=?, IRWr=?, op=? 控制信号 PCWr=, MemWr=, IRWr=, op=add

12 取指周期结束时 每一个周期都在下一个时钟到来时结束 ( 此时, 存储元件被更新 ): Clk IR M[PC] PC PC + 4 PCWr= One Logic Clock Cycle You are here! Clk PC 取指结束时, 新的 PC 值 (PC+4) 开始写入 PC 吗? 即下个周期里,PC 中已经是 PC+4 了 MemWr= Adr Real Memory IRWr= Din Dout Instruction Reg Clk 4 Op = Add Control 取指结束时, 当前指令开始写入 IR! 为保证本指令期间 IR 中指令不变, 后面周期中 IRWr 应该为

13 考察整个取指周期 ( 第一个周期 ) 分析 : 取指周期中各控制信号的取值应为? PCWr= PC 为什么多周期时需要 PCWr? Adr Real Memory Din Dout Instruction Reg Ifetch 想想看, 和单周期有哪些不同? PC 的更新时间 PC 需要写使能信号 ( 非每个周期都写 ) 多了一个指令寄存器 IR 每个周期产生各自的控制信号 Op=Add : PCWr, IRWr PCWrCond=x x: PCWrCond RegDst, Mem2R PCSrc= BrWr= Zero Others: s IorD= MemWr= IRWr= SelA= Target busa busb Control Zero 分析的结果就是生成的一个 状态 SelB= Op=Add

14 寄存器取 / 指令译码周期 ( 第二个周期 ) busa RegFile[rs] ; busb RegFile[rt] ; Decoder Op and Func; is not being used: ctr = xx PCWr= PC IorD=x PCWrCond= Zero MemWr= Adr Real Memory DinDout IRWr= Instruction Reg Go to the Op Control 6 Func 6 RegDst=x Rs Rt Rt Rd Imm 指令未译码, 故只执行公共操作 空闲, 可用 投机计算 转移地址! RegWr= Ra Rb busa Reg File Rw busb busw SelA=x 4 PCSrc=x 2 3 SelB=xx Control Zero Op=xx 问题 :PC 中已是下条顺序指令的地址, 对本条指令的执行有没有影响? 没有影响, 因为 IRWr=! 考虑转移地址的投机计算的数据通路如何?

15 busa Reg[rs] ; busb Reg[rt] ; Decoder Op and Func; 投机 :Target PC + SignExt(Imm6)*4 ( 为什么不是 PC +4+ SignExt(Imm6)*4?) PCWr= PC 寄存器取 / 指令译码周期 ( 第二个周期 ) Beq Rtype Ori Memory IorD=x PCWrCond= Zero : MemWr= Adr Real Memory Din Dout Op Control Func 指令译码 控制信号与指令相关吗? IRWr= RegDst=x Instruction Reg 6 6 Rs Rt Rt Rd 5 5 Rfetch/Decode Op=Add : BrWr, ExtOp SelB= x: RegDst, PCSrc IorD, MemtoReg Others: s RegWr= Ra Rb busa Reg File Rw busb busw << 2 SelA= Control Target Imm SelB= Extend 6 Op=Add ExtOp= 第二周期结束时, 执行的结果是什么? 4 PCSrc=x 2 3 为什么不直接送 PC? 为什么加 BrWr? BrWr= Zero

16 寄存器取 / 指令译码周期 ( 第二个周期 ) PCWr= PC Rtype Beq Ori IorD=x Memory : PCWrCond= Zero MemWr= Adr Real Memory DinDout Control Op Func IRWr= Instruction Reg 6 6 RegDst=x Rs Rt Rt Rd 5 5 Imm Extend 6 ExtOp= RegWr= Ra RbbusA Reg File Rw busb busw << 2 SelA= 4 PCSrc=x 2 3 SelB= BrWr= Control Target Zero Op=Add 如果指令译码输出为 :Beq 下面第三个周期就是 Beq 指令的第一个执行周期!

17 Branch 指令执行并完成周期 ( 第三个周期 ) 如果指令译码输出为 :Branch if (busa == busb) PCWr= PC PC Target IorD=x PCWrCond= Zero MemWr= Adr Real Memory Din Dout IRWr= Instruction Reg PC 中是否在下个周期更新为 Target, 则由 Zero 决定! 每步都不空闲, 被重复使用 若不 投机, 则在此周期前还要加一个周期, 用来计算转移地址后保存到 Target 中! 控制信号的取值是什么? RegDst=x Rs Rt Rt Rd 5 5 Imm Extend 6 ExtOp=x RegWr= Ra Rb busa Reg File RwbusB busw << 2 SelA= 4 BrFinish Op=Sub SelB= x: IorD, Mem2Reg RegDst, ExtOp : PCWrCond PCSrc SelA PCSrc= 2 3 SelB= BrWr= Control Target Zero Op=Sub

18 寄存器取 / 指令译码周期 ( 第二个周期 ) PCWr= PC Beq Rtype Ori Memory IorD=x PCWrCond= Zero : MemWr= Adr Real Memory DinDout Op Control Func IRWr= Instruction Reg 6 6 RegDst=x Rs Rt Rt Rd 5 5 Imm Extend 6 ExtOp= RegWr= Ra RbbusA Reg File Rw busb busw << 2 SelA= 4 PCSrc=x 2 3 SelB= BrWr= Control Target Zero Op=Add 如果指令译码输出为 :R-Type 下面第三个周期就是 R-Type 指令的第一个执行周期!

19 R-type 指令的执行周期 ( 第三个周期 ) RExec : RegDst Output busa op busb SelA SelB= R-type Op=Rtype 指令的第一个周期, 控制信号取值? x: PCSrc, IorD PCWr= PCWrCond= MemtoReg ExtOp PCSrc=x BrWr= Zero IorD=x MemWr= IRWr= RegDst= RegWr= SelA= Target PC Rs Zero Ra Adr Rt 5 Real RbbusA 5 Reg File Memory Rt 4 Rw busb DinDout Rd busw 2 3 << 2 Control Instruction Reg 为解决 Race 问题, 该周期使 RegDst=, 而使 RegWr=. Why? 保证地址 Rw 在写使能 RegWr 前先稳定, 准备好下周期写 Imm Extend 6 ExtOp=x MemtoReg= Op=Rtype SelB=

20 R-type 完成周期 ( 第四个周期 ) R[rd] Output R-type 指令的第二个周期, 控制信号取值? PCWr= PC IorD=x PCWrCond= Zero MemWr= Adr Real Memory Din Dout RegDst= 使 Rw 继续稳定 RegWr= 使 busw 的值写入 SelA=, SelB=, op=rtype, 使 输出 保持稳定, 直到本周期结束 IRWr= Instruction Reg RegDst= Rs Rt Rt R d Rfinish 5 5 RegWr= Ra RbbusA Reg File Rw busb busw Imm Extend 6 ExtOp=x Op=Rtype : RegDst, RegWr sela SelB= x: IorD, PCSrc ExtOp SelA= << 2 4 B MemtoReg= PCSrc=x BrWr= 2 3 Control Target Zero Op=Rtype SelB= 有谁发现和最初多周期通路的说明有何不同? 这里少了些什么? 为什么能少? A

21 寄存器取 / 指令译码周期 ( 第二个周期 ) PCWr= PC Beq Rtype Ori Memory IorD=x PCWrCond= Zero : MemWr= Adr Real Memory Din Dout IRWr= Intruction Reg Control Op 6 Func 6 RegDst=x Rs Rt Rt Rd Imm ExtOp= Extend RegWr= Ra RbbusA Reg File RwbusB busw SelA= << 2 4 PCSrc=x 2 3 SelB= BrWr= Control Target Zero Op=Add 指令译码输出为 :ori 下面第三个周期就是 ori 指令的第一个执行周期!

22 Ori 指令执行周期 ( 第三个周期 ) output busa or ZeroExt[Imm6] SelB= 指令的第一个周期, 控制信号取值? x: MemtoReg IorD, PCSrc PCWr= PCWrCond= PCSrc=x BrWr= Zero IorD=x MemWr= IRWr= RegDst= RegWr= SelA= Target PC Rs Zero Ra Adr 5 Rt Rb busa Real 5 Reg File Memory Rt 4 Rw busb Din Dout Rd busw 2 3 << 2 Control ori 指令的第一个周期 Instruction Reg Op=Or : SelA OriExec 为解决 Race 问题, 该周期使 RegDst=, 而使 RegWr= Imm Extend 6 ExtOp= MemtoReg= Op=Or SelB=

23 Ori 指令完成周期 ( 第四个周期 ) R [rt] output x: IorD, PCSrc ori 指令的第二个周期, 控制信号取值? SelB= PCWr= PCWrCond= : SelA RegWr PCSrc=x BrWr= Zero IorD=x MemWr= IRWr= RegDst= RegWr= SelA= Target PC Rs Zero Ra Adr 5 Rt Rb busa Real 5 Reg File Memory Rt 4 RwbusB DinDout Rd busw 2 3 << 2 Control Instruction Reg OriFinish Op=Or RegDst= 使 Rw 继续稳定,RegWr= 使 busw 上的值写入 Imm Extend 6 ExtOp= MemtoReg= Op=Or SelB=

24 寄存器取 / 指令译码周期 ( 第二个周期 ) PCWr= PC Beq Rtype Ori Mem IorD=x PCWrCond= Zero : MemWr= Adr Real Memory DinDout IRWr= Instruction Reg Control Op 6 Func 6 RegDst=x Rs Rt Rt Rd Imm ExtOp= 指令译码输出 : 访存指令 (lw 或 sw) Extend RegWr= Ra RbbusA Reg File Rw busb busw SelA= << 2 4 PCSrc=x 2 3 SelB= BrWr= Control Target Zero Op=Add 下面第三个周期就是 lw/sw 指令的第一个周期!

25 lw/sw 内存地址计算周期 ( 第三个周期 ) MemAdr : ExtOp SelA SelB= Op=Add lw/sw 指令的第一个周 x: MemtoReg PCWr= PCWrCond= 期, 控制信号取值? PCSrc PCSrc=x BrWr= Zero IorD= MemWr= IRWr= RegDst=x RegWr= SelA= Target PC Rs Zero Ra Adr 5 Rt busa Rb Real 5 Reg File Memory Rt 4 Rw busb DinDout Rd busw 2 3 << 2 Control output busa + SignExt[Imm6] Instruction Reg 的输出可能是读地址, 也可能是写地址! Imm Extend 6 ExtOp= MemtoReg=x Op=Add SelB=

26 sw 指令存数周期 ( 第四周期 ) : ExtOp swfinish MemWr SelA M[ output] busb SelB= Op=Add sw 指令的第二个周期, 控制信号取值? x: PCSrc,RegDst PCWr= PCWrCond= MemtoReg PCSrc=x BrWr= Zero IorD= MemWr= IRWr= RegDst=x RegWr= SelA= Target PC Rs Zero Ra Adr 5 Rt RbbusA Real 5 Reg File Memory Rt 4 Rw busb Dout Din Rd busw 2 3 << 2 Control Instruction Reg 必须保持 SelA, SelB, Op 与上个周期取值相同! 才能保证 Adr 稳定不变! Imm Extend 6 ExtOp= MemtoReg=x Op=Add SelB=

27 lw 指令取数周期 ( 第四周期 ) : ExtOp MemFetch SelA, IorD Mem Dout M[ output] SelB= lw 指令的第二个周期, 控制信号取值? Op=Add x: MemtoReg PCSrc PCWr= PCWrCond= PCSrc=x BrWr= Zero IorD= MemWr= IRWr= RegDst= RegWr= SelA= Target PC Rs Zero Ra Adr 5 Rt Rb busa Real 5 Reg File Memory R 4 Rw t busb DinDout Rd busw 2 3 << 2 Control Instruction Reg RegDst=,RegWr=,Memto Reg= 使 Rw 和 busw 在 RegWr= 前先稳定 Imm Extend 6 ExtOp= MemtoReg= Op=Add SelB=

28 lw 指令回写周期 ( 第五周期 ) R[rt] Mem Dout lwfinish : SelA RegWr, ExtOp MemtoReg lw 指令的第三个周期, 控制信号取值? SelB= Op=Add PCWr= PCWrCond= x: PCSrc IorD PCSrc=x BrWr= Zero IorD=x MemWr= IRWr= RegDst= RegWr= SelA= Target PC Rs Zero Ra Adr 5 Rt Rb busa Real 5 Reg File Memory Rt 4 Rw busb DinDout Rd busw 2 3 << 2 Control Instruction Reg RegDst=,RegWr= 使 Rw 在 RegWr= 后继续保持稳定 输出在 控制不变时保持稳定, 以使 Dout 和 busw 保持稳定 Imm Extend 6 ExtOp= MemtoReg= SelB= Op=Add

29 完成前述 6 条指令的完整多周期数据通路 PCWr PC IorD PCWrCond PCSrc BrWr Zero MemWr IRWr RegDst RegWr SelA Target Adr Ideal Memory Din Dout Instruction Reg Rs Rt Rt 5 5 Rd Ra RbbusA Reg File Rw busb busw << Control Zero 到现在为止, 给出了指令在每个周期内的数据流动过程, 以及每个周期包含的控制信号取值和书中的图书中的图有一些不同 Imm Extend 6 Op ExtOp SelB MemtoReg 下面关键是如何控制在不同周期产生不同的控制信号取值! 这就是控制器的任务 下面考虑如何设计控制器!

30 状态转换图 每来一个时钟, 进入下一个状态 问题 : 各指令的时钟数多少? R-4, ori-4, beq-3, Jump-3, lw-5, sw-4 下一步目标 : 设计 状态转换电路 即 : 控制器

31 多周期控制器的实现 回忆单周期控制器单周期控制器的实现 : 控制信号在整个指令执行过程中不变, 用真值表能反映指令和控制信号的关系 根据真值表就能实现控制器! 多周期控制器能不能这样做? 多周期数据通路的控制更复杂, 体现在 : 每个指令有多个周期, 每个周期控制信号取值不同! 多周期控制器功能描述方式 : 有限状态机 : 采用组合逻辑设计用硬连线路 (PLA) 实现 微程序 : 用 ROM 存放微程序实现 初始表示顺序控制逻辑表示 实现技术 Finite State Diagram Explicit Next State Function Logic Equations PLA hardwired control 硬连线路控制器 ( 硬布线控制器 ) Microprogram Microprogram counter + Dispatch ROMs Truth Tables ROM microprogramme d control 微程序控制器

32 复习 : 单周期数据通路 (The Main Control) op<5>.. <> op<5>.. op<5>.. op<5>.. op<5>.. op<5>.. <> <> <> <> op<> R-type ori lw sw beq jump RegWrite Src RegDst MemtoReg MemWrite Branch Jump ExtOp op<2> op<> op<> BACK

33 时序控制的描述 由时钟 当前状态和操作码确定下一状态 不同状态输出不同控制信号值控制逻辑采用 摩尔机 方式, 即 : 输出函数仅依赖于当前状态 组合逻辑控制单元 输出 Multicycle Datapath Opcode 输入 clk 下一步目标 : 设计控制逻辑 (control Logic) 状态寄存器 Next State 下一状态被看成和其他控制信号一样 下一状态是当前状态和操作码的函数 每来一个时钟, 当前状态变到下一个状态在不同状态下输出不同的控制信号

34 多周期控制器状态转换表 当前状态 S 3 S 2 S S State State (IFetch) State (ID/RFetch) State (ID/RFetch) State (ID/RFetch) State4 (OriExec) State (ID/RFetch) State6 (RExec) State (ID/RFetch) State (ID/RFetch) State8 (MemAdr) State8 (MemAdr) State (MemFetch) 指令操作码 OP 5 OP 4 OP 3 OP 2 OP OP (beq) (jump) (ori) (R-type) (lw) (sw) (sw) (lw) 下一状态 NS 3 NS 2 NS NS 以上功能可以由 PLA 电路来实现!

35 用 PLA 电路实现的组合逻辑控制单元 ( 硬布线方式 ) 左上角 : 由操作码和当前状态确定下一状态的电路右下角 : 由当前状态确定控制信号的电路 你能找出图中的错误吗? 有三个点的位置不对!

36 用 PLA 电路实现的组合逻辑控制单元 ( 另一种布局方式 ) Op5 Op4 Op3 Op2 Op Op S3 S2 S S = = 2 = 3 = 4 = 5 = 当前状态 6 = 7 = 8 = 9 = = = beq j ori R lw sw lw sw R= beq= lw= sw= ori= j= NS3 NS2 NS NS PCWr IorD RegDst

37 小结 单周期 CPU 和多周期 CPU 的成本比较 : 单周期下功能部件不能重复使用 ; 而多周期下可重复使用, 比单周期省 单周期指令执行结果直接保存在 PC Regfile 和 Memory; 而多周期下需加一些临时寄存器保存中间结果, 比单周期费 单周期 CPU 和多周期 CPU 的性能比较 : 单周期 CPU 的 CPI 为, 但时钟周期为最长的 load 指令执行时间 多周期 CPU 的 CPI 是多少? 时钟周期多长? 假定程序中 22% 为 Load,% 为 Store,49% 为 R-Type,6% 为 Branch, 2% 为 Jump 每个状态需要一个时钟周期,CPI 为多少? 分析如下 : 每种指令所需的时钟周期数为 : Load:5;Store:4;R-Type:4;Branch:3;Jump:3 CPI 计算如下 : CPI=CPU 时钟周期数 / 指令数 = Σ( 指令数 i x CPI i )/ 指令数 = Σ( 指令数 i / 指令数 )x CPI i CPI =.22x5+.x4+.49x4+.6x3+.2x3 = 4.4 假设单周期时钟宽度为, 则多周期时钟周期约为单周期的 /5, 所以, 多周期的总体时间约 :4.4x/5=.8 ; 而单周期总体时间为 :x= 由此看出 : 多周期比单周期效率高!

38 微程序设计和异常处理 主要内容 硬连线路控制器设计的优点和缺点 微程序设计控制器的基本思想 微程序 微指令 微操作和微命令的概念及其关系 微指令格式设计 微操作码字段 - 水平微程序 : 不译法 字段直接编译法 字段间接编译法 - 垂直微程序 : 垂直编译法 下条微指令地址确定方式 - 增量法 ( 计数器法 ) - 断定法 ( 下址字段法 ) MIPS 指令子集的微程序控制器设计 为什么处理器设计要考虑异常的处理 异常 和 中断 的概念 如何在数据通路中加入异常处理部件 如何控制数据通路中的异常处理部件

39 硬连线路设计和微程序设计 硬连线路设计的特点 : 优点 : 速度快, 适合于简单或规整的指令系统, 例如,MIPS 指令集 缺点 : 它是一个多输入 / 多输出的巨大逻辑网络 对于复杂指令系统来说, 结构庞杂, 实现困难 ; 修改 维护不易 ; 灵活性差 甚至无法用有限状 态机描述! 微程序控制器的基本思想 : 简化控制器设计的一个方法 : 微程序设计 仿照程序设计的方法, 编制每个指令对应的微程序 每个微程序由若干条微指令构成, 各微指令包含若干条微命令 ( 一条微指令相当于一个状态, 一个微命令就是状态中的控制信号 ) 所有指令对应的微程序放在只读存储器中, 执行某条指令时, 取出对应 微程序中的各条微指令, 对微指令译码产生对应的微命令, 这个微命令就是控制信号 这个只读存储器称为控制存储器 (Control Storage), 简称控存 CS 微程序设计的特点 : 具有规整性 可维性和灵活性, 但速度慢

40 微程序控制器的基本结构 输入 : 指令 条件码 输出 : 控制信号 ( 微命令 ) 指令 核心 : 控存 CS µpc: 指出将要执行的微指令在 CS 中的位置 µir: 正在执行的微指令 每个时钟执行一条微指令 微程序第一条微指令地址由起始地址发生器产生 顺序执行时, µpc+ 转移执行时, 由控制转移字段指出对哪些条件码进行测试, 转移地址发生器根据条件码修改 µpc 最初把固化在只读存储器的微程序称为固件固件 (Firmware), 表示用软件实现的硬部件, 现在对固件通俗的理解是在 ROM 中 固化的软件

41 状态和微程序的对应关系 每条指令用一个微程序实现微程序由若干微指令组成, 每个状态对应一条微指令取指令和译码用专门的微程序实现, 称为取指微程序 问题 : 上述取指微程序包含几条微指令? 2 条 lw 指令有几条微指令? 3 条

42 微程序 \ 微指令 \ 微命令 \ 微操作的关系 将指令的执行转换为微程序的执行微程序是一个微指令序列每条微指令是一个 / 序列, 其中包含若干个微命令 ( 即 : 控制信号 ) 每个微命令控制数据通路的执行 一条机器指令一个微程序 控制程序执行要解决什么问题? () 指令的编码和译码 (2) 下条指令到哪里去取微程序执行也要解决两个问题 : () 微指令中如何对微命令编码 (2) 下条微指令在哪里 微指令 微指令 2 微命令 微命令 2 微命令 m 微指令 n 微操作 微操作 微操作

43 第一个问题 : 微指令格式的设计 ( 自学 ) 微指令中包含了 : 若干微命令 下条微指令地址 ( 可选 ) 常数 ( 可选 ) 微指令 µop µadd 格式 : 常数 µop: 微操作码字段, 产生微命令 ; µadd: 微地址码字段, 产生下条微指令地址 微指令格式设计风格取决于微操作码的编码方式 ( 微命令 : 控制信号 ) 微操作码编码方式 : 不译法 ( 直接控制法 ) 字段直接编码 ( 译 ) 法水平型微指令风格字段间接编码 ( 译 ) 法最小 ( 最短 垂直 ) 编码 ( 译 ) 法垂直型微指令风格问题 : 指令采用的是哪种编码方式?

44 第一个问题 : 微指令格式的设计 水平型微指令基本思想 : 相容微命令尽量多地安排在一条微指令中 优点 : 微程序短, 并行性高, 适合于较高速度的场合 缺点 : 微指令长, 编码空间利用率较低, 并且编制困难 垂直型微指令基本思想 : 一条微指令只控制一 二个微命令二个微命令 优点 : 微指令短, 编码效率高, 格式与机器指令类似, 故编制容易 缺点 : 微程序长, 一条微指令只能控制一 二个, 无并行, 速度慢 垂直型微指令面向算法描述, 水平型微指令面向内部控制逻辑的描述 下面讨论第二个问题 : 下条微指令的指定

45 不译法 ( 直接控制法 ) 基本思想 : 一位对应一个微命令 ( 控制信号 ), 不需译码 对于二值微命令 (/), 本来就占一位, 没有增加位数对于多值微命令, 因为没有进行编码, 因而相对来说增加了位数 例如 : 4- MUX: 编码则只需 2 位, 不编码则要 4 位 Ctrl: 编码则只需 4 位, 不编码则要 6 位 优点 : 并行控制能力强, 不必译码, 故执行速度快 编制的微程序短 缺点 : 微指令字很长, 可能多达几百位 编码空间利用率低 ( 几百位中可能只有几位为 ) 刚提出微程序设计时, 采用的就是不译法

46 Wilkes 微程序控制器 IR 微地址寄存器 Ⅱ 下条微指令地址 G 微地址寄存器 Ⅰ 时钟 微地址译码器 控制信号 条件信号

47 多周期数据通路对应的微操作码 PCWr PC IorD PCWrCond PCSrc BrWr Zero MemWr IRWr RegDst RegWr SelA Target RAdr Ideal Memory WrAdr DinDout Instruction Reg Rs Rt Rt 5 5 Rd Ra RbbusA Reg File Rw busb busw << Control Zero 采用不译法, 则微操作码格式为 : Imm Extend 6 ExtOp MemtoReg SelB Op PCWr IorD MemWr PCSrc BrWr 控制字 ( 即 : 微指令 ) 的长度等于控制信号 ( 微命令 ) 的总位数

48 字段直接编码法 基本思想 : 将微指令分成若干字段, 每个字段对包含的若干微命令编码 把互斥微命令组合在同一字段, 相容微命令组合在不同字段 一条微指令中最多可同时发出的微命令个数就是字段数相容微操作 : 能同时进行的微操作, 称为相容的 互斥微操作 : 不能同时进行的微操作, 称为互斥的 如 : 运算 ( add/sub/or/ ), 存储器操作 ( 读指令 / 读数据 / 写数据 ) 你还能想出哪些互斥微操作? 多路选择器的输入控制信号, 等等 优点 : 有较高的并行控制能力, 速度较快 微指令短, 能压缩到压缩到不译法不译法的 /2 到 /3, 节省控存容量 缺点 : 增加译码线路, 并开销一部分时间 但因分段后各字段位数少, 所以译码对微指令的执行速度影响不大 鉴于以上特点, 它为大多数微程序控制的计算机所采用

49 直接控制法和字段直接编译法举例 例 : 假定图 6.9 和 6. 所示单总线数据通路有 4 个通用寄存器 R,R,R2 和 R3, 6 种 操作, 主存和 CPU 间采用 异步 方式通信, 存取操作有 Read 和 Write 信号控制 每条指令结束时, 都要执行一个公共操作, 用来进行指令结束处理 ( 如, 查询是否有外部 中断 请求 ), 由控制信号 End 控制 要求 : 分别写出采用直接控制法和字段直接编码法的微操作码格式 寄存器和总线间传送信号三组共 7 个 : Rin:Rin, Rin, R2in, R3in, Yin, PCin, IRin Rout:Rout, Rout, R2out, R3out, Zout, PCout, MARout, MDRout MRin:MARin, MDRin 暂存器 Y 清 信号 个 :ClearY 操作类型 6 种 :add/sub/or/and/xor/ /mov 存储器信号 3 个 :Read Write WMFC 进位信号 个 : C 结束信号 个 :End

50 直接控制法和字段直接编译法举例 直接控制法 µop 的长度 = 控制信号的总个数 操作控制信号不是 6 个 ( 是 4 个 ), 这是由 结构和功能决定的 ( 为什么?) 共有 =27 个控制信号 ( 微命令 ) 微操作码字段共 27 位 某位为, 对应微命令有效, 否则对应微命令无效 字段直接编码法 ( 表 6.9) 哪些微操作之间是互斥的? - Rout 中信号之间 : 某时刻只能有一个寄存器输出到总线 ; - 操作控制信号间 : 某时刻 只能做一种操作 - 主存读 / 写信号 : 不能同时读和写, 有些节拍中没有读和写 (No action) 如何分组? - 按互斥关系分组 : 上述 3 个互斥组在 3 个不同字段中 - 可同时做但实际不可能同时发生 : 如 Rin,MRin( 这两组可同时进行 ) - 其余的需直接控制 ( 无需编码 ): 如 C, Clear Y, WMFC, END 等 共分 9 组, µop 仅有 =9 位, 比直接控制法少 8 位 9 组中有 5 组进行了编码, 执行微指令时需译码

51 字段直接编码法举例 (P.27 表 6. / P.272 表 6. )

52 字段间接编码法 基本思想 : 在字段直接编码法基础上, 进一步压缩微指令长度 通过另一字段的编码或标志位来对某个字段的编码加以解释 即 : 一个微命令字段可以表示多个微命令组, 到底代表哪一组微命令, 则由另一个专门的字段来确定 特点 : 可进一步缩短微指令字长度, 节省控存容量 ( 意义不大!) 译码线路复杂, 时间开销大 鉴于以上特点, 它只限于局部场合使用

53 最小 ( 最短 垂直 ) 编码法 基本思想 : 采用指令编码思想 ( 每条指令产生一个操作 ), 每条微指令只包含一个微命令 即将所有微命令进行全编码即将所有微命令进行全编码 采用这种方式编码的微指令称为垂直型微指令由其组成的微程序称为垂直微程序 特点 : 能得到最短的微指令字 微程序规整 直观, 易于编制 但并行能力差, 速度慢, 并且微程序长 主要用在具有两级微程序的控制器设计中, 用垂直微程序解释指令, 用水平微程序解释垂直微指令 此时, 水平微程序称为毫微程序

54 第二个问题 : 下条微地址的确定方式 什么是微程序执行顺序的控制? 指在现行微指令执行完毕后, 怎样控制产生下一条微指令的地址 怎样控制微程序的执行顺序? 通过在本条微指令中明显或隐含地指定下条微指令在控存中的地址来控制 微指令地址的产生方法有两种 : 增量 ( 计数器 ) 法 : 下条微指令地址隐含在隐含在微程序计数器 μpc 中 断定 ( 下址字段 ) 法 : 在本条微指令中明显指定明显指定下条微指令地址下条微指令地址 选择下条要执行的微指令有三种情况 : 第一条微指令 : 每条指令执行完, 就会取出下条指令执行, 当指令取出后, 需要转移到下条指令对应的第一条微指令执行 顺序执行时 : 微程序执行过程中顺序取出下条微指令执行 分支执行时 : 在遇到按条件转移到不同微指令执行时, 需要根据控制单元的输入来选择下条微指令 还有一种情况 ( 取指微程序首址 ): 每条指令都要先执行 取指微程序

55 不同微地址产生方法对应的控制器结构 指令 指令 转移控制 增量 ( 计数器 ) 法 断定 ( 下址字段 ) 法

56 微程序控制器的设计 举例 : 用 转移控制 字段实现分支, 指令微程序首址在 ROM 中 分别采用计数器法和下址字段法实现表 6. 给出的微程序, 画出微程序控制器结构 状态号 ( 微地址 ) 转移控制字段 BrCtr= : 取指首址 :ROM :ROM2 : µpc+ 下址字段 P.275 图 6.4 BrCtr= : 下址段 :op3 修改 :ROM 问题 : 哪是增量法? 哪是断定法? 当 op3 为 时, 将应将 修正为 SKIP

57 分支 (ROM ) Op Name State Rtype jmp beq ori lw sw 分支 2( ROM 2) Op Name State lw sw 多周期 CPU 的有限状态机 分支 2 中 op3 是区分 lw 和 sw 的标志, 当 op3 为 时, 将应将 修正为 即: 将后 2 位取反 BACK

58 微指令字的解释执行微指令字的解释执行微指令字的解释执行微指令字的解释执行 MM 执行部件执行部件执行部件执行部件控存控存控存控存 CPU ADD SUB AND DATA... 用户程序和数据用户程序和数据用户程序和数据用户程序和数据可以修改可以修改可以修改可以修改 AND 微程序微程序微程序微程序每条指令对应一段微每条指令对应一段微每条指令对应一段微每条指令对应一段微指令构成的微程序指令构成的微程序指令构成的微程序指令构成的微程序 ADD 微程序微程序微程序微程序 SUB 微程序微程序微程序微程序

59 异常和中断的处理 程序执行过程中 CPU 会遇到一些特殊情况, 使正在执行的程序被 中断 此时,CPU 中止原来正在执行的程序, 转到处理异常情况或特殊事件的程序去执行, 执行后再返回到原被中止的程序继续执行 程序执行被 中断 的事件有两类 内部 异常 : 在 CPU 内部发生的意外事件或特殊事件按发生原因分为硬故障中断和程序性中断两类硬故障中断 : 如电源掉电 硬件线路故障等程序性中断 : 执行某条指令时发生的 例外 (Exception), 如溢出 缺页 越界 越权 非法指令 除数为 堆栈溢出 访问超时 断点 单步 系统调用等 外部 中断 : 在 CPU 外部发生的特殊事件, 通过 中断请求 信号向 CPU 请求处理 如实时钟 控制台 打印机缺纸 外设准备好 采样计时到 DMA 传输结束等 ( 中断是一种 I/O 方式, 所以有关中断的概念在第 9 章介绍 )

60 异常和中断的处理 内部 异常 按处理方式分为故障 自陷和终止三类故障 (fault) : 执行指令引起的异常事件, 如溢出 缺页 堆栈溢出 访问超时等 自陷 (Trap) : 预先安排的事件, 如单步跟踪 系统调用 ( 执行访管指令 ) 等 是一种自愿中断 终止 (Abort) : 硬故障事件, 机器将 终止, 调出中断服务程序来重启操作系统 思考 : 自陷处理完成后回到哪条指令执行? 回到下条指令! 思考 2: 哪些故障补救后可继续执行, 哪些只好终止当前进程? 缺页等 : 补救后可继续, 回到发生故障的指令重新执行 溢出 除数为 非法操作非法操作 内存保护错等 : 终止当前进程 不同体系结构和教科书对 异常 和 中断 定义的内涵不同, 在看书时要注意! 本章主要介绍如何在数据通路中增加 程序性异常 的检测和处理逻辑

61 处理器中的异常处理机制 检测到异常时, 处理器必须进行以下基本处理 : 关中断 : 使处理器处于 禁止中断 状态, 以防止新异常 ( 或中断 ) 破坏断点和现场 2 保护断点和程序状态 : 将断点和程序状态保存到堆栈或特殊寄存器中 即 : PC 堆栈或 EPC( 专门存放断点的寄存器 ) PSWR 堆栈或 EPSWR ( 专门保存程序状态的寄存器 ) PSW(Program Status Word): 程序状态字, 包括条件码 中断码 状态位等状态位等 PSWR(PSW 寄存器 ): 用于存放程序状态字的寄存器 如, X86 的 FLAGS) ) 3 识别异常事件 : 有软件识别软件识别和硬件识别 ( 向量中断方式 ) 两种不同的方式

62 处理器中的异常处理机制 有两种不同的识别方式 : 软件识别和硬件识别 ( 向量中断方式 ) () 软件识别 (MIPS 采用 ) 设置一个异常状态寄存器 (MIPS 中为 Cause 寄存器 ), 用于记录异常原因 操作系统使用一个统一的异常处理程序, 该程序按优先级顺序查询异常状态寄存器, 识别出异常事件 ( 例如 :MIPS 中位于内核地址 x8 8 处有一个专门的异常处理程序, 用于检测异常的具体原因, 然后转到内核中相应的异常处理程序段中进行具体的处理 ) (2) 硬件识别 ( 向量中断 )(8x86 采用 ) 用专门的硬件查询电路按优先级顺序识别异常, 得到 中断类型号, 根据此号, 到中断向量表中读取对应的中断服务程序的入口地址

63 举例 -886/888 中断系统 统称为 中断 : 内中断 ( 内部异常 ) 和外中断 ( 外部中断 ) 内中断 :CPU 自己产生而不通过中断请求线请求, 皆为不可屏蔽中断 指令引起的异常 :CPU 执行预置的指令后在特定的情况下发生的异常 INTO 溢出 : 执行算术指令后, 若发生溢出, 则产生类型 4 中断 INT n 用户定义 : 指令的第二字节给出一个类型号 (n=~255) 其中 n=3 (INT 3) 时为断点设置, 该指令执行后, 自动产生类型 3 中断 处理器检测异常 :CPU 执行指令时产生的异常, 如 : 除法错 无效操作码无效操作码 缺页 单步跟踪调试等单步跟踪调试等 如 : 除法错 : 除数为 或商溢出, 则产生类型 中断 单步跟踪 : 当自陷位 TF= 且处在开中断状态 ( 即 IF=) 时, 每条指令执行完就自动产生类型 中断 外中断 : 通过中断请求线 INTR 和 NMI 来实现 INTR: 可屏蔽中断 ( 外设中断源引起的中断 ) NMI: 不可屏蔽中断 ( 重要或紧急的硬件故障 ), 属于类型 2 中断 所有事件都被分配一个 中断类型号, 每个中断都有相应的 中断服务程序, 可根据中断类型号找到中断服务程序的入口地址

64 886/888 的中断向量表 中断向量表也称中断入口地址表 ( 或异常表 ), 位于 H~3FFH 共 256 组, 每组占四个字节 CS:IP 向量地址 = 中断类型号 x 4 例 : 除法错的中断类型号为, 故其向量地址为 :x4= 例 2:NMI 的中断类型号为 2, 故其向量地址为 : 2x4=8 除法错单步 NMI CS:IP CS:IP CS:IP CS:IP CS:IP ~3H 4~7H 8~BH 3FC~3FFH 中断向量表 ( 异常表 ) 中每一项是对应中断服务程序的入口地址, 被称为中断向量 (Interrupt Vector) 中断向量表的起始地址存放在一个异常表基址寄存器中

65 MIPS 带异常处理的数据通路设计 MIPS 采用软件 ( 操作系统提供的一个特定的异常查询程序 ) 识别中断源 数据通路中需增加以下两个寄存器 : EPC: 位, 用于存放断点 ( 异常处理后返回到的指令的地址 ) - 写入 EPC 的断点可能是正在执行的指令 ( 故障时 ), 也可能是下条指令 ( 自陷和中断时 ) 前者需要把 PC 的值减 4 后送到 EPC, 后者则直接送 PC 到 EPC Cause: 位 ( 有些位还没有用到 ), 记录异常原因 - 假定处理的异常类型有以下两种 : 未定义指令 (Cause=) 数据溢出 (Cause=) 需要加入两个寄存器的 写使能 控制信号 EPCWr: 在保存断点时该信号有效, 使断点 PC 写入 EPC CauseWr: 在处理器发现异常 ( 如 : 非法指令 溢出 ) 时, 该信号有效, 使异常类型被写到 Cause 寄存器 需要一个控制信号 IntCause 来选择正确的值写入到 Cause 中 需要将异常查询程序的入口地址 (MIPS 为 x8 8) 写入 PC, 可以在原来 PCSource 控制的多路复用器中再增加一路, 其输入为 x8 8

66 带异常处理的数据通路 需加入两个寄存器的 写使能 控制信号 EPCWr: 保存断点时该信号有效, 使断点 PC 写入 EPC CauseWr: 在处理器发现异常 ( 如 : 非法指令 溢出 ) 时该信号有效, 使异常类型被写到 Cause 寄存器 需一个控制信号 IntCause 来选择正确的值写入到 Cause 中 需将异常查询程序入口地址 (MIPS 为 x8 8) 写入 PC, 可在原 PCSource 控制的多路器中再增加一路, 其输入为 x8 8

67 带异常处理的控制器设计 在有限状态机中增加异常处理的状态, 每种异常占一个状态 每个异常处理状态中, 需考虑以下基本控制 Cause 寄存器的设置 计算断点处的 PC 值 (PC-4), 并送 EPC 将异常查询程序的入口地址送 PC 将中断允许位清 ( 关中断 ) 假设要控制的数据通路中有以下两种异常处理 未定义指令 (Cause=): 状态 2 数据溢出 (Cause=): 状态 3 注 :7 条指令共需 2 个状态 : 第 ~ 状态 在原来状态转换图基础上加入两个异常处理状态 如何检测是否发生了这两种异常 - 未定义指令 : 当指令译码器发现 op 字段是一个未定义的编码时 - 数据溢出 : 当 R-Type 指令执行后在 输出端的 Overflow 为 时 2 UndefInstr IntCause= CauseWrite= SelA= SelB= op=sub EPCWrite= PCWrite= PCSrc= 2 未定义指令异常状态 3 Overflow IntCause= CauseWrite= SelA= SelB= op=sub EPCWrite= PCWrite= PCSrc= 3 数据溢出异常状态

68 加入异常处理后的有限状态转换图 问题 : 何时检测 缺页? MMU 中地址转换时! 问题 : 中断检测能否和异常检测一样 fault 异常的检测在指令执行中 指令译码 ( 系统调用 ) 或在指令执行中进行? trap 异常怎样检测? 条件码检测 ( 单步 ) 中断随机发生, 与指令执行不同步不能在指令执行中检测总是每条指令执行结束时检测问题 : 为什么在指令执行中不能响应中断? 因为无法回到一条指令的中间继续执行 异常响应周期加入异常处理后的控制器设计可根据上述有限状态机实现!

69 TLB 缺失处理和缺页处理 TLB 缺失处理 ( 可以由硬件处理, 也可发出 TLB 缺失 异常由软件来处理 ) TLB miss 说明可能发生以下两种情况之一 : - 页在内存中 : 只要把主存中的页表项装载到 TLB 中 - 页不在内存中 ( 缺页 ):OS 从磁盘调入一页, 并更新主存页表和 TLB 缺页 (page fault) 处理 当主存页表的页表项中 valid 位为 时, 发生 page fault Page fault 是一种 故障 异常, 按以下方式处理 (MIPS 异常处理 ) - 关中断 ( 中断允许位清 ) - 在 Cause 寄存器置相应位为 - 发生缺页的指令地址 (PC 减 4) 送 EPC - x8 8( 异常查询程序入口 ) 送 PC 执行 OS 的异常查询程序, 取出 Cause 寄存器中相应的位分析, 得知发生了 缺页, 转到 缺页处理程序 执行 page fault 一定要在发生缺失的存储器操作时钟周期内捕获到, 并在下个时钟转到异常处理, 否则, 会发生错误 - 例 :lw $, ($), 若没有及时捕获 异常 而使 $ 改变, 则再重新执行该指令时, 所读的内存单元地址被改变, 发生严重错误!

70 实例 :IA- 处理器的实现 问题 :IA- 处理器适合用单周期还是多周期方式来实现? 单周期方式 : - 每条指令都按最复杂指令时间执行 ( 指令执行效率低!) - 功能部件不能重复使用, 对于一条具有多个复杂寻址的指令来说, 可能要用到相当多个 ( 成本高!) 多周期方式 : - 每条指令执行时间可以不同, 简单指令 3-4 个时钟, 复杂指令几十个时钟 ( 指令执行效率高!) - 功能部件可以在一条指令执行过程中重复使用, 这对于一条指令中具有多个复杂寻址的指令, 非常有好处 ( 成本低!) 问题 :IA- 处理器适合用硬连线路控制器还是微程序控制器来实现? Hardwired Control: 速度快, 但无法实现复杂指令 Microprogrammed control: 容易实现复杂指令, 但速度慢 从 8x486 开始, 采用了一种折中的方式 : 简单指令 ( 在数据通路中可一遍执行完 ) 用 Hardwired Control 复杂指令用 microcoded control, 不需为复杂指令构造复杂的数据通路多周期数据通路和微程序控制器为 IA- 指令集提供了一个实现框架 下一章详细介绍 Pentium4 处理器 ( 是一种 IA- 结构 ) 的流水线实现

71 本讲小结 硬连线路控制器的优点是速度快, 适合于简单规整指令集的数据通路 ; 缺点是设计周期长 繁琐繁琐 不灵活不灵活 不易修改和增删指令不易修改和增删指令 微程序控制器设计借用程序设计思想, 将每个周期所涉及的状态用只读存储器保存起来, 执行到某条指令时, 把这条指令对应的状态按序取出, 转换为控制信号 优点 : 简化设计 灵活灵活 易修改易修改 易维护易维护 ; 缺点 : 速度慢 微指令格式设计 微操作码字段大多采用字段直接编译法, 将互斥微命令组合在同一个字段进行编码 这样, 在缩短微指令字的同时, 保证了并行性, 并避免同一周期出现两个不能同时执行的微命令的问题 下条微指令地址可以采用计数器 ( 增量 ) 法和下址字段 ( 断定 ) 法 ; 两种方法都要解决分支问题 可以增加一个 转移控制 信号来解决下条微地址的顺序控制问题 异常会改变程序执行流程, 所以处理器设计要考虑异常处理 在数据通路中加入异常处理必须考虑 : 保存断点和异常原因, 并将控制转到异常处理程序的首地址处 带异常的有限状态机中, 每个异常对应一个状态和进入状态的检测条件

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63>

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63> 第六章中央处理器 2. 简单回答下列问题 ( 参考答案略 ) ( 1) CPU 的基本组成和基本功能各是什么? ( 2) 取指令部件的功能是什么? ( 3) 控制器的功能是什么? ( 4) 为什么对存储器按异步方式进行读写时需要 WMFC 信号? 按同步方式访问存储器时, CPU 如何实现存储器读写? ( 5) 单周期处理器的 CPI 是多少? 时钟周期如何确定? 为什么单周期处理器的性能差? 元件在一个指令周期内能否被重复使用?

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Training

Training 计算机组织与系统结构 流水技术引论 Introduction to Pipelining ( 第六讲 ) 程旭 2014.11.26 MUX 0 1 MUX 0 1 Mux 0 1 32 ctr Clk busw Wr 32 32 busa 32 busb 5 5 5 Rw Ra Rb 32 32-bit isters Rs Rt Rt Rd Dst Extender 32 16 imm16 Src

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

Microsoft PowerPoint - 第9讲-08.ppt [兼容模式]

Microsoft PowerPoint - 第9讲-08.ppt [兼容模式] 计算机设计与实践 第九讲 移动计算研究中心张展 1 本讲内容 微处理器设计实例 2 实验目的 根据计算机组成原理课程所学的知识和本课程所讲的设计思想, 设计一个给定指令系统的处理器, 包括 VHDL 语言的实现 FPGA 芯片的编程实现 对处理器的结构和计算机系统的整体工作过程有深刻的了解 为以后应用和设计处理器系统打下基础 3 实验要求 给出处理器的设计方案 用 VHDL 语言实现处理器的设计 将设计下载到

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

单周期数据通路

单周期数据通路 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

Microsoft PowerPoint - chx09_org14_pipelining_1.ppt

Microsoft PowerPoint - chx09_org14_pipelining_1.ppt 计算机组织与系统结构 流水技术引论 Itroductio to Pipeliig ( 第十四讲 ) 程旭 29.5 rach Jump st 单周期处理器 busw Wr 5 5 Istructio Fetch Uit Rd imm6 Istr Rs 5 Rw Ra Rb -bit isters 6 Istructio op bus Exteder

More information

URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完

URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完 URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完成的操作是 做减运算, 且在结果为负值时转移 URISC 的指令形式如下 : 第一个操作数地址第二个操作数地址运算结果为负时的转移地址由于只有一条指令,

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

Microsoft PowerPoint - CA_04 Chapter6 v ppt

Microsoft PowerPoint - CA_04 Chapter6 v ppt Chap. 6 Enhancing Performance with Pipelining 臺大電機系吳安宇教授 V1. 2007/04/20 臺大電機吳安宇教授 - 計算機結構 1 Outline 6.1 An Overview of Pipelining 6.2 A Pipelined Datapath 6.3 Pipelined Control 6.4 Data Hazards and Forwarding

More information

<4D F736F F F696E74202D20B5DAC1F9D5C220C0FBD3C3C1F7CBAECFDFCCE1B8DFD0D4C4DC2E707074>

<4D F736F F F696E74202D20B5DAC1F9D5C220C0FBD3C3C1F7CBAECFDFCCE1B8DFD0D4C4DC2E707074> Computer Organization and Design Ch6: Enhanceing Performance with Pipeline 利用流水线提高性能 第一讲流水线数据通路和控制逻辑 第二讲流水线冒险处理 第三讲高级流水线技术 第一讲流水线数据通路和控制主要内容 日常生活中的流水线处理例子 : 洗衣服 单周期处理器模型和流水线性能比较 什么样的指令集适合于流水线方式执行 如何设计流水线数据通路

More information

Microsoft PowerPoint ren-build-CPU [兼容模式]

Microsoft PowerPoint ren-build-CPU [兼容模式] 嵌入式系统设计与应用 如何设计一个处理器? 从 0 到 1 西安交通大学电信学院 任鹏举 如何设计一个处理器? 从 0 到 1 如何设计一个处理器? 如何扩展一个处理器的指令集? 是否可以从处理器的指令集反向设计出相应的处理器?From ISA to Micro-Architecture? 如何设计一个处理器? 从 0 到 1 Application Software programs 单时钟处理器

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

<4D F736F F F696E74202D20B5DAC6DFD5C220D6B8C1EEC1F7CBAECFDF2E707074>

<4D F736F F F696E74202D20B5DAC6DFD5C220D6B8C1EEC1F7CBAECFDF2E707074> Ch7: Instruction Pipeline 指令流水线 第一讲流水线数据通路和控制逻辑 第二讲流水线冒险处理 第三讲高级流水线技术 Pipeline.2 第一讲流水线数据通路和控制主要内容 日常生活中的流水线处理例子 : 洗衣服 单周期处理器模型和流水线性能比较 什么样的指令集适合于流水线方式执行 如何设计流水线数据通路 以 MIPS 指令子集来说明 详细设计取指令部件 详细设计执行部件 分析每条指令在流水线中的执行过程,

More information

L15 MIPS Assembly

L15 MIPS Assembly Lecture 19: MIPS Assembly Language 程序的机器级表示主要内容 MIPS 指令格式 R- 类型 / I- 类型 / J- 类型 MIPS 寄存器 长度 / 个数 / 功能分配 MIPS 操作数 寄存器操作数 / 存储器操作数 / 立即数 / 文本 / 位 MIPS 指令寻址方式 立即数寻址 / 寄存器寻址 / 相对寻址 / 伪直接寻址 / 偏移寻址 MIPS 指令类型

More information

<4D F736F F F696E74202D20B5DAC1F9D5C220D7F7D2B5B2CEBFBCB4F0B0B8>

<4D F736F F F696E74202D20B5DAC1F9D5C220D7F7D2B5B2CEBFBCB4F0B0B8> 第六章利用流水线提高性能 作业参考答案 参考答案 : P.373 中 ALU 操作时间为 200ps a. ALU 操作时间缩短 25% 不能加快流水线指令速度 因为流水线的速度最终由时钟周期的宽度决定, 而它不会缩短时钟周期 b. 如果 ALU 操作时间延长 25%, 那么,ALU 时间将变为 250ps, 这样,ALU 操作将变成瓶颈, 使得流水线的时钟周期为 250ps, 其效率降低 (250-200)/250=20%

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

L21

L21 Lecture 25: Pipeline Hazard 流水线冒险的处理主要内容 流水线冒险的几种类型 数据冒险的现象和对策 数据冒险的种类 - 相关的数据是 结果 : 可以通过转发解决 - 相关的数据是 DM 读出的内容 : 随后的指令需被阻塞一个时钟 数据冒险和转发 - 转发检测 / 转发控制 数据冒险和阻塞 - 阻塞检测 / 阻塞控制 控制冒险的现象和对策 静态分支预测技术 动态分支预测技术

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

Chapter 6

Chapter 6 第三次作业 : 第四章前半部习题 4. 考虑下列指令 : 指令 :AND Rd,Rs,Rt 说明 :Reg[Rd] = Reg[Rs] AND Reg[Rt] 4..(5%) 图 4.2 中的控制器为了上述指令所产生的控制讯号其值为何? 4..2(5%) 哪些资源 ( 区块 ) 会为该指令做出有用的功能? 4..3(5%) 哪些资源 ( 区块 ) 会产生并不被该指令用到的输出? 哪些资源 ( 区块

More information

计算机组成与系统结构

计算机组成与系统结构 第5章 中央处理器 CPU 计算机的工作过程就是计算机执行程序的过程 程序是一个指令序列 这个序列明确告诉计算机应该 执行什么操作 在什么地方能够找到用来操作的数据 一旦把程序装入主存储器 计算机就可以自动执行取出指令和执行指令的任务 专门用来完成此项工 作的计算机部件称为中央处理器 Central Processing Unit CPU 做成单片集成电路的 CPU 通常又称 为微处理器 Microprocessor

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Andes Technology PPT Temp

Andes Technology PPT Temp 晶心科技線上技術研討會 AndesCore 便捷的全 C 嵌入式编程 晶心科技市場及技術服務部毛礼杰軟件經理 WWW.ANDESTECH.COM 大纲 系统初始化介绍 异常和中断说明 全 C 语法例子说明 总结 2 CPU 相关特性 1: 中断向量表 系统初始化 (1) 2: 系统寄存器 通常需要用 assembly( 汇编 / 组合 ) 语言来操作 AndesCore 全 C 嵌入式编程 C 扩展语法

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 5 6 7 38 ( ) VS 3 100 ( ) MM MM ! 1 2003 VS . MM MM MM MM MM MM MM CS MM CS MM MM ! ? % Y O [ ] Y O [ ] [ ] [ ] MM MM

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

上海盛瑞电子有限公司

上海盛瑞电子有限公司 2015/5/22 1 / 9 一运动指令 MoveJ MoveJ[\Conc,]ToPoint,Speed[\V] [\T],Zone[\Z][\Inpos],Tool[\WObj]; 1 [\Conc,]: 协作运动开关 (switch) 2 ToPoint: 目标点, 默认为 * (robotarget) 3 Speed: 运行速度数据 (speeddata) 4 [\V]: 特殊运行速度 mm/s

More information

计算机组成原理 教案.doc

计算机组成原理 教案.doc 张明武计算机组成原理教案 - 1-1.1 计算机的分类和应用 1.1.1 计算机的分类计算机分类 : 模拟 : 处理在时间和数值上连续的量 数字 : 处理离散的量数字计算机分类 : 专用计算机 : 如工控机 DSP IOP 等 通用计算机 :GPP 通用机分类 : 巨型机 (Super-Computer) 大型机(Mainframe) 中型机(Medium-size Computer) 小型机 (minicomputer)

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

<4D F736F F D20BCC6CBE3BBFAD7E9B3C9D4ADC0EDD6D8B5E3A1A2C4D1B5E32E646F63>

<4D F736F F D20BCC6CBE3BBFAD7E9B3C9D4ADC0EDD6D8B5E3A1A2C4D1B5E32E646F63> 1. 计算机有哪些分类? 传统计算机可从用途 规模或处理对象等多方面进行划分 (1) 按用途划分通用机 : 用于解决多种一般问题, 该类计算机使用领域广泛 通用性较强, 在科学计算 数据处理和过程控制等多种用途中都能适应 专用机 : 用于解决某个特定方面的问题, 配有为解决某问题的软件和硬件, 如在生产过程自动化控制 工业智能仪表等专门应用 (2) 按规模划分巨型计算机 : 应用于国防尖端技术和现代科学计算中

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

一、单选题(本大题共15小题,每小题1分,共15分)

一、单选题(本大题共15小题,每小题1分,共15分) 北京大学信息科学技术学院考试试卷 科目 : 微机原理 A 姓名 : 学号 : 题号一二三四五六七八总分 分数 阅卷人 考试时间 : 2010 年 1 月 8 日任课教师 : 王克义装订线内请勿答题考场纪律 1. 请持学生证入场考试, 并按指定座位就座 ; 除必要的文具和教师指定的用具用书外, 其他所有物品包括手机 呼机 MP3 电子词典 书籍 笔记 纸张等严禁带入座位, 必须放在指定位置 凡有试题印制问题请向监考教师提出,

More information

untitled

untitled 158 10 10 CIP /.2002 ISBN 7-302-05978-0... - -.TP303-44 CIP (2002) 078737 100084 http://www.tup.tsinghua.edu.cn 787 1092 1/16 18.75 427 2002 10 1 2002 10 1 ISBN 7-302-05978-0/TP 3563 0001 5000 26.00 1

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

¸ß¼¶¼ÆËã»úÌåϵ½á¹¹

¸ß¼¶¼ÆËã»úÌåϵ½á¹¹ 第三章 高级流水线与指令级并行 石教英 内容提要及与各节的关系 (1) 流水线技术就是指令重叠执行技术, 达到加快运算速度的目的 由于存在三种流水线竞争 : 结构竞争 数据竞争 控制竞争, 导致流水线性能降低, 不能运作在理想的重叠状态, 需要插入停顿周期, 从而使流水线性能降低 --3.1 流水线技术基础 内容提要及与各节的关系 (2) 指令之间可重叠执行性称为指令级并行性 (Instruction

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Training

Training 计算机组织与系统结构存储器系统 Memory System ( 第十七讲 ) 程旭易江芳 2012.12.19 本讲提纲 存储系统引论 存储系统 : 全貌 存储工艺技术 : SRAM 和寄存器堆 存储工艺技术 :DRAM 总结 教学目标 : 已经掌握的内容 计算机的五个基本部件 处理器 输入 控制 存储器 数据通路 输出 本讲主题 : 存储系统 1980 1981 1982 1983 1984 1985

More information

lecture13

lecture13 Lecture 13: Cache V 1 Cache 大 小 Block 大 小 和 缺 失 率 的 关 系 Cache 性 能 由 缺 失 率 确 定, 而 缺 失 率 与 Cache 大 小 Block 大 小 Cache 级 数 等 有 关 Cache 大 小 :Cache 越 大,Miss 率 越 低, 但 成 本 越 高! Block 大 小 :Block 大 小 与 Cache 大 小

More information

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2)

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2) Computer Architecture Fall, 2017 Week 13 2017.12.04 [Group 11] 1. 請詳述為何在 MIPS 中不會發生 WAR 與 WAW 這兩種 Hazards ANS: Use simple, fixed designs WAR: 因為 Write 是第五個 Stage,Read 是第二個 Stage, 因此 Write 永遠在 Read 後面,

More information

<4D F736F F D CFC4D7E9B3C9D4ADC0EDCAD4CCE22D41A3A8B4F0B0B8A3A92E646F63>

<4D F736F F D CFC4D7E9B3C9D4ADC0EDCAD4CCE22D41A3A8B4F0B0B8A3A92E646F63> 考试科目名称计算机组织与系统结构 (A-1 卷 ) 2007 2008 学年第 2 学期 教师 袁春风 / 窦万春 考试方式 : 闭卷 系 ( 专业 ) 计算机科学与技术 年级 2006 班级 学号 姓名 成绩 题号一二三四五六 分数 得分 一 填空题 ( 本大题共 15 小题, 每空 1 分, 共 20 分 ) 1. 二进制指令代码的符号化表示被称为 汇编 语言源程序 必须通过相应的翻译程序把它转

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第九章中断与中断管理 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC 机硬件中断 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

数据库系统概论

数据库系统概论 所谓寻址方式, 就是指令中用于说明操 作数所在地或者所在地地址的方法 8088/8086 的寻址方式分为两类 : 关于寻找数据的寻址方式 关于寻找转移地址的寻址方式 下面讲关于数据的寻址方式时, 均以数 据传送指令 MOV 为例讲解 MOV 指令格式如下 : MOV DST, SRC 助记符 目的操作数 指令完成的功能 : (DST) 源操作数 (SRC) 一. 关于寻找数据的寻址方式 ( 共 8

More information

第七章 中断

第七章 中断 计算机组成原理 第五章输入输出系统 llxx@ustc.edu.cn wjluo@ustc.edu.cn 1 本章内容 I/O 设备的工作原理 键盘 显示器 打印机等 I/O 系统的工作原理 I/O 系统的构成 数据传输方式, 即 通信协议 编址方式 数据传送方式 传输同步方式 数据传输控制方式 : 程序查询 中断 DMA... 2 I/O 的本质 : 内存与外设间数据交换 现代计算机组成设备 Von

More information

Microsoft Word ZW-11111

Microsoft Word ZW-11111 第 1 章计算机系统概述 考纲内容 1 2 3 CPU CPI CPU MIPS MFLOPS GFLOPS TFLOPS 复习指导 本章是组成原理的概述, 易对有关概念或性能指标出选择题, 也可能综合后续章节的内容出有关性能分析的综合题 掌握本章的基本概念, 是学好后续章节的基础 部分知识点在初学时理解不甚深刻也无需担忧, 相信随着后续章节的学习一定会有更为深入的理解 这一章中读者要重点掌握各个性能指标的计算,

More information

微机系统与接口--第5章-2.ppt

微机系统与接口--第5章-2.ppt 第五章 数字量输入输出接口 主 要 内 容 * 接口基本概念 * 接口电路 ( 芯片 ) 端口地址 * 数据传送方式 * 总线及其接口 * 中断电路及其处理 * 定时 / 计数器电路与应用 * 并行接口电路与应用 * 串行接口电路与应用 * DMA 电路与应用 1 总线基本概念 CPU 总线 地址总线 AB CPU 存储器 数字 I/O 接口 输入出设备 模拟 I/O 接口 输入出设备 数据总线 DB

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

7 Q&A 11 2

7 Q&A 11 2 PPCA2018: 带五级流 的 MIPS 模拟器 PPCA 助教组 2018.7 目录 1 概述 3 2 时间和要求 3 3 正确性 3 3.1 初始化..................................... 3 3.2 寄存器..................................... 3 3.2.1 通 寄存器..............................

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Microsoft PowerPoint - chx09_org04_isa.ppt

Microsoft PowerPoint - chx09_org04_isa.ppt 计算机组织与系统结构 指令系统设计 Instruction Set Design ( 第四讲 ) 程旭 2009.3.5 指令系统设计 软件 指令系统 硬件 指令系统体系结构 (Instruction Set Architecture) ADD SUBTRACT AND OR COMPARE... 从程序员来观察 01010 01110 10011 10001 11010... 从计算机来观察 CPU

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20%

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20% 38 11 2013 11 GeomaticsandInformationScienceofWuhanUniversity Vol.38No.11 Nov.2013 :1671-8860(2013)11-1339-05 :A GIS Key-value 1 1 1 1 (1 129 430079) : 设计了一种基于 Key-value 结构的缓存 KV-cache 旨在简化数据结构 高效管理缓存数据

More information

第 二 章 校 草 出 现 圣 迪 亚 学 院, 一 所 远 近 闻 名 的 贵 族 学 院 它 的 知 名 度 就 好 像 猪 的 知 名 度 一 样, 无 人 不 知 无 人 不 晓 是 所 有 人 都 向 往 的 学 校 圣 迪 亚 学 院 是 以 欧 式 建 筑 风 格 为 主 的 大 门

第 二 章 校 草 出 现 圣 迪 亚 学 院, 一 所 远 近 闻 名 的 贵 族 学 院 它 的 知 名 度 就 好 像 猪 的 知 名 度 一 样, 无 人 不 知 无 人 不 晓 是 所 有 人 都 向 往 的 学 校 圣 迪 亚 学 院 是 以 欧 式 建 筑 风 格 为 主 的 大 门 乖 丫 头 的 冰 山 王 子 / 作 者 : 小 甜 第 一 章 遇 到 坏 人 呜 呜 呜 呜 在 一 个 僻 静 的 小 巷 子 里, 一 个 可 爱 的 女 生 蹲 在 地 上 弱 弱 的 哭 泣 着, 她 怎 么 那 么 倒 霉 啊! 因 为 怕 上 学 迟 到 所 以 走 近 路 没 想 到 还 碰 上 这 种 事 不 准 哭, 快 把 钱 拿 出 来 要 不 然 要 你 好 看 一 个

More information

没有幻灯片标题

没有幻灯片标题 第 3 讲冯. 诺依曼计算机 : 机器级程序及其执行 理解 程序和数据如何被存储 如何被执行的, 有助 于构造和设计可执行的算法和程序, 有助于复杂环境下 程序执行机制的理解 内容提要 2/37 基本目标 : 理解程序及其硬件实现思维 基本思维 : 机器级算法与程序 机器指令与指令系统 存储器 存储程序 运算器与 控制器 机器级程序的执行 ; 算法程序化 程序指令化 指令存储化 执行信号化 冯. 诺依曼计算机

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 第 3 章 8086 的寻址方式和指令系统 (1) 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 1 主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 3.1 8086 的寻址方式 两种不同的类型 : 一类是程序地址 ( 在代码段中 ) 的寻址方式 ; 另一类是操作数地址的寻址方式

More information

【结构化面试名师精品班2ATY15K002】讲义.docx

【结构化面试名师精品班2ATY15K002】讲义.docx 李 曼 卿 带 大 家 学 面 试 李 曼 卿 } 我 们 党 历 来 高 度 重 视 选 贤 任 能, 始 终 把 选 人 用 人 作 为 关 系 党 和 人 民 事 业 的 关 键 性 根 本 性 问 题 来 抓 好 干 部 要 做 到 信 念 坚 定 为 民 服 务 勤 政 务 实 敢 于 担 当 清 正 廉 洁 2013 年 6 月 28 日, 全 国 组 织 工 作 会 议 第 0 页 目

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

L15 MIPS Assembly

L15 MIPS Assembly Lecture 20: MIPS Assembly Language II Example: 过 程 调 用 int i; i 是 全 局 静 态 变 量 void set_array(int num) { array 数 组 是 局 部 变 量 int array[10]; for (i = 0; i < 10; i ++) { set_array 是 调 用 过 程 arrar[i] = compare

More information

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! 2 3 4 2 3 4 5 7 8 9 10

More information

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11 .. 软件综合实验之操作系统 进入保护模式 陈香兰 中国科学技术大学计算机学院 July 1, 2016 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 1 / 11 提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 2 / 11 实验准备 实验环境准备

More information

MVB-1001.DOC

MVB-1001.DOC 20 1.5 10 15 20 25 80 100 CSF 1. 2. 0105 3. 4. 5. 30% 1.5 0.75 1. Visual Basic Visual Basic (A) Visual Basic Enterprise Edition (B) Visual Basic Script Edition (C) Visual Basic Learning Edition (D) Visual

More information

L10 Virtual Memory

L10 Virtual Memory Lecture 5: Virtual Memory II 简单分区 (Partitioning) 主存分配 : 操作系统 : 固定 用户区 : 分区 简单分区方案 : 使用长度不等的固定长分区 (fixed-size partition) 当一个进程调入主存时, 分配给它一个能容纳它的最小的分区 对于需 96K 的进程可分配 256K 的分区 简单分区方式的缺点 : 因为是固定长度的分区, 故可能会浪费主存空间

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2012 年 3 月 5 日 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) r 5 (r 3 ) op (r 4 ) Read-after-Write (RAW) hazard 反相关 (Anti-dependence)

More information

starter_pdfmerge

starter_pdfmerge 第一章. 冯 诺依曼 (Vn Neumann) 机工作方式的基本特点是 A. 指令流单数据流 B. 按地址访问并顺序执行指令 C. 堆栈操作 D. 存储器按内容选择地址 下列描述中 是正确的 A. 控制器能够识别 解释和执行所有的指令及存储结果 B. 计算机主要由输入输出单元 控制器 存储器和算术逻辑单元构成 C. 所有的数据运算都在控制器中完成 D. 以上三者都正确 计算机系统中的存储器系统是指

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

Microsoft PowerPoint ren-advanced topics [兼容模式]

Microsoft PowerPoint ren-advanced topics [兼容模式] 嵌入式系统设计与应用 第五章 ARM 与 Thumb 指令集 西安交通大学电信学院 任鹏举 1 深入 ARM 指令集 在以字节为单位寻址的存储器中, 有两种方式来存储字, 这根据最低有效字节与相邻较高有效字节相比是存在较低的还是较高的地址来划分 On holy wars and a plea for peace 小端 大端 条件执行 ARM 指令集不同寻常的特征是, 条件执行不仅应用于转移指令, 也可以应用于所有的

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

06721 main() lock pick proc() restart() [2][4] MINIX minix2.0 GDT, IDT irq table[] CPU CPU CPU CPU (IDTR) idt[] CPU _hwint00:! Interrupt

06721 main() lock pick proc() restart() [2][4] MINIX minix2.0 GDT, IDT irq table[] CPU CPU CPU CPU (IDTR) idt[] CPU _hwint00:! Interrupt MINIX ( 730000) ( 730000) MINIX MINIX2.0 MINIX : MINIX TP3 1 MINIX UNIX Tanenbaum UNIX MINIX LINUX MINIX MINIX MINIX1.0 UNIX V7 MINIX2.0[3] POSIX MINIX3 MINIX Gabriel A. Wainer 1994-1995 [5] 1998 I/O 2002

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

通过动态路由协议实现链路备份

通过动态路由协议实现链路备份 通过动态路由协议实现链路备份 实验名称 通过动态路由协议实现链路备份 实验目的 掌握通过在不同链路上配置不同的路由协议实现链路备份 背景描述 你是公司高级网络管理员, 公司内部有一个很重要的服务器所在网段为 192.168.12.0/24, 平常访问通过 R1,R3 的 OSPF 路由协议, 为了保证该网段随时能够访问, 不能因为链路故障出问题, 要求你实现一个备份冗余的功能, 请给予支持 实现功能

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

chx10_arch02_ilp.ppt [兼容模式]

chx10_arch02_ilp.ppt [兼容模式] 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2010 年 3 月 29 日 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) Read-after-Write r 5 (r 3 ) op (r 4 ) (RAW) hazard 反相关 (Anti-dependence)

More information