Chapter 6

Size: px
Start display at page:

Download "Chapter 6"

Transcription

1 第三次作业 : 第四章前半部习题 4. 考虑下列指令 : 指令 :AND Rd,Rs,Rt 说明 :Reg[Rd] = Reg[Rs] AND Reg[Rt] 4..(5%) 图 4.2 中的控制器为了上述指令所产生的控制讯号其值为何? 4..2(5%) 哪些资源 ( 区块 ) 会为该指令做出有用的功能? 4..3(5%) 哪些资源 ( 区块 ) 会产生并不被该指令用到的输出? 哪些资源 ( 区块 ) 并不会对该指令产生输出?

2 R-type Instruction Data/Control Flow 4 Add opcode ALUOp Instr[3-26] Control Unit Branch ALUSrc Shift left 2 Add PCSrc MemRead MemtoReg MemWrite PC Instruction Memory Read Address Instr[3-] p.254 ( 页 264) fig. 4.9 and $t, $t2, $t3 RegWrite RegDst Register File Instr[25-2] Read Addr $t2 Read Instr[2-6] Read Addr 2 Data $t3 Instr[ 5-] $t Instr[5-] funct. code Write Addr Write Data Read Data 2 Sign 6 Extend 32 $t3 $t2 Instr[5-] ovf zero ALU ALU control Data Memory Address Read Data Write Data 2

3 指令 :AND Rd,Rs,Rt 4..(5%) 图 4.2 中的控制器为了上述指令所产生的控制讯号其值为何? 4..2(5%) 哪些资源 ( 区块 ) 会为该指令做出有用的功能? 4..3(5%) 哪些资源 ( 区块 ) 会产生并不被该指令用到的输出? 哪些资源 ( 区块 ) 并不会对该指令产生输出? RegDst MemMux AND AND Rd,Rs,Rt Branch Add, Data memory, Sign extend, Shift left 2 bits Branch Add, Data memory, Sign extend, Shift left 2 bits 5

4 4.4 本习题的问题假设所需用以制作处理器数据信道的逻辑区块具有以下延迟 : I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps 4.4.(%) 设若我们在处理器中唯一需要做的事是撷取连续的指令 ( 图 4.6), 则时脉周期时间可以为若干? I-Mem takes longer than the Add unit, so the clock cycle time is equal to the latency of the I-Mem: 2 ps Clock D C 2 ps Q 7 Add 4 Instruction Memory 图 4.6 PC Read Address Instruction 2 6

5 I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps 4.4.2(%) 考虑一个类似图 4. 中所示的数据信道, 然其处理器只有一种类型的指令 : 无条件 PC- 相对地址的分支 对于这个数据信道的周期时间为若干? 7

6 图 4. I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps PCSrc 2 the latency 35ps 2 6ps ALUSrc ALU operation MemWrite MemtoReg 2 RegWrite MemRead 5 8

7 I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps 4.4.3(%) 当我们仅需支持有条件 PC- 相对地址的分支时, 重复 题 9

8 图 4. I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps PCSrc 2 35ps 6ps 7 2 The longest latency: 42ps ALUSrc ALU operation MemWrite MemtoReg RegWrite 9 2 MemRead 5

9 I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps 本习题中剩下的三题与数据信道中的 Shift-left-2 有关 : 4.4.4(5%) 哪些指令需要用到这项资源? 4.4.5(5%) 这项资源对哪些种指令 ( 如果有的话 ) 会位于关键路径上? PC-relative branches None. PC-relative unconditional branch instructions.

10 I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps 本习题中剩下的三题与数据信道中的 Shift-left-2 有关 : 4.4.6(5%) 假设我们仅支持 beq 及 add 指令, 讨论这项资源在延迟上的变化会如何影响处理器的周期时间 假设其他资源的延迟不变 BEQ has a longer critical path >= ADD BEQ determines the clock cycle time so the latency of Shift -left -2 must be increased by 5 ps or more for it to affect cloc cycle time. Shift -left -2 >= 5ps D? ps Clock C Q 2

11 图 4. I-Mem Add Mux ALU Regs D-Mem Sign- Shift-Left-2 Extend 2ps 7ps 2ps 9ps 9ps 25ps 5ps ps PCSrc 35ps 2 The longest latency: BEQ 42ps ALUSrc ALU operation MemWrite ADD 42ps MemtoReg 9 2 RegWrite MemRead 3

12 4.7 本习题中我们仔细检视一道指令是如何在单周期的数据信道中执行的 习题中的各问题请参考撷取下列指令字当时的频率周期 : 假设数据存储器中的数据全为 且处理器的缓存器在撷取上述指令的周期开 始时含有下列值 : r r r2 r3 r4 r5 r6 r8 r2 r (%) 符号延伸及跳跃的 左移 2 单元 ( 位于图 4.24 中的上方 ) 对该指令字所产出的输出各为何? Sign-extend Jump s shift-left-2 4

13

14 4.7 本习题中我们仔细检视一道指令是如何在单周期的数据信道中执行的 习题中的各问题请参考撷取下列指令字当时的频率周期 : 假设数据存储器中的数据全为 且处理器的缓存器在撷取上述指令的周期开始时含有下列值 : r r r2 r3 r4 r5 r6 r8 r2 r ? 4.7.2(5%) 对该指令而言 ALU 控制单元的输入值应为何 ALUOp[-] = 4.7.3(5%) 该指令执行后 PC 的新地址应为何? 标示出决定这个 PC 新位置所需用到的路径 ( 按 : 这里应是指在图 4.24 中标示计算 PC 新位置所需用到的路径 ) a) New PC = PC+4 b) Path: PC to Add (PC+4) to branch Mux to jump Mux to PC 6

15 MIPS instruction encoding p.4( 页 4)Fig op (3:26) () () 2() 3() 4() 5() 6() 7() () R-format Bltz/gez jump jump & link branch eq branch ne blez bgtz () add immediate 2() TLB FlPt addiu set less than imm. sltiu andi ori xori load upper imm 3() 4() load byte lh lwl load word lbu lhu lwr 5() store byte sh swl store word 6() lwc lwc swr 7() swc swc 7

16 ALU Control Must describe hardware to compute 4-bit ALU control input given instruction type = lw, sw ALUOp = = beq, = arithmetic function code for arithmetic 6 function field ALUOp ALU control p.248 ( 页 257) fig ALU operation 4 ALU 8

17 PC to Add (PC+4) to branch Mux to jump Mux to PC 图

18 4.7 本习题中我们仔细检视一道指令是如何在单周期的数据信道中执行的 习题中的各问题请参考撷取下列指令字当时的频率周期 : 假设数据存储器中的数据全为 且处理器的缓存器在撷取上述指令的周期开 始时含有下列值 : r r r2 r3 r4 r5 r6 r8 r2 r (5%) 在该指令执行期间以及上述缓存器内容值的情形下, 则每一个 Mux 的输出值各为何? 4.7.5(5%)ALU 及两个加法单元的输入值各为何?? 或 7 2

19 图 4.24 sw rs rt rd (offset) PC 4 PC+4 PC+4 PC+4 2*4-3 2 或 2? 7? 或 7 X = 2 2

20 第四次作业 : 第四章后半部习题 4.9 在本习题中, 我们检视数据相依性会如何影响 4.5 节所述的基本 5- 阶管道的执行 习题中的各问题请参考下列指令序 : or $s,$s2,$s3 or $s2,$s,$s4 or $s,$s,$s2 另外, 假设每一种前馈方法的相关周期时间如下 : 无前馈 有充分前馈 仅有 ALU-ALU 前馈 25ps 3ps 29ps 4.9. (%) 指出所有的相依关系以及其类别 Instruction sequence Dependences I: or $s,$s2,$s3 RAW on $s from I to I2 and I3 I2: or $s2,$s,$s4 RAW on $s2 from I2 to I3 I3: or $s,$s,$s2 WAR on $s2 from I to I2 WAR on $s from I2 to I3 WAW on $s from I to I3 RAR on $s2 from I to I3 RAR on $s from I2 to I3 22

21 4.9 在本习题中, 我们检视数据相依性会如何影响 4.5 节所述的基本 5- 阶管道的执行 习题中的各问题请参考下列指令序 : or $s,$s2,$s3 or $s2,$s,$s4 or $s,$s,$s2 另外, 假设每一种前馈方法的相关周期时间如下 : 无前馈 有充分前馈 仅有 ALU-ALU 前馈 25ps 3ps 29ps (%) 假设在此管道化的处理器中无前馈能力 指出所有的危障, 并加入 nop 指令以消除之 Instruction sequence or $s,$s2,$s3 nop Delay I2 to avoid RAW hazard on $s from I nop or $s2,$s,$s4 nop Delay I3 to avoid RAW hazard on $s2 from I2 nop or $s,$s,$s2 24

22 One Way to Fix a Data Hazard I n s t r. add $, nop ALU W IM Reg DM Reg Can fix data hazard by waiting stall but impacts CPI O r d e r nop sub $4,$,$5 and $6,$,$7 ALU IM Reg DM Reg R ALU IM Reg DM Reg R (Bubbles) 25

23 4.9 在本习题中, 我们检视数据相依性会如何影响 4.5 节所述的基本 5- 阶管道的执行 习题中的各问题请参考下列指令序 : or $s,$s2,$s3 or $s2,$s,$s4 or $s,$s,$s2 另外, 假设每一种前馈方法的相关周期时间如下 : 无前馈 有充分前馈 仅有 ALU-ALU 前馈 25ps 3ps 29ps (%) 假设有充分的前馈能力 指出所有的危障, 并加入 nop 指令以消除之 Instruction sequence or $s,$s2,$s3 or $s2,$s,$s4 No RAW hazard on $s from I(forwarded) or $s,$s,$s2 No RAW hazard on $s2 from I2(forwarded) 26

24 Another Way to Fix a Data Hazard I n s t r. O r d e r add $, sub $4,$,$5 and $6,$,$7 or $8,$,$9 ALU IM Reg DM Reg ALU IM Reg DM Reg ALU IM Reg DM Reg ALU Fix data hazards by forwarding (bypassing) results as soon as they are available to where they are needed IM Reg DM Reg xor $4,$,$5 ALU IM Reg DM Reg 27

25 4.9 在本习题中, 我们检视数据相依性会如何影响 4.5 节所述的基本 5- 阶管道的执行 习题中的各问题请参考下列指令序 : or $s,$s2,$s3 or $s2,$s,$s4 or $s,$s,$s2 另外, 假设每一种前馈方法的相关周期时间如下 : 无前馈 有充分前馈 仅有 ALU-ALU 前馈 25ps 3ps 29ps (%) 假设仅有 ALU-ALU 的前馈 ( 但是没有自 MEM 至 EX 阶的前馈 ), 在该码中加入 nop 指令以消除危障 Instruction sequence or $s,$s2,$s3 or $s2,$s,$s4 No RAW hazard on $s from I(forwarded) nop nop or $s,$s,$s2 No RAW hazard on $s2 from I2(forwarded) 28

26 4. 在本习题中, 我们检视资料危障 控制危障, 以及指令集架构 (ISA) 的设计如何能影响管道的执行 习题中的各问题请参考下列的 MIPS 代码段 : sw $s2,2($s6) lw $s2,8($s6) beq $s5,$s4,label add $s5,$s,$s4 slt $s5,$s3,$s4 假设个别的管道阶级中有如下的延迟 : # 假设 $s5!=$s4 IF ID EX MEM WB 2ps 2ps 5ps 9ps ps Cycle time 4.. (%) 在本问题中, 假设所有分支均可被准确预测 ( 因而消除了所有的控制危障 ) 且未使用任何延迟槽 设若我们仅有一个内存 ( 其中存放指令以及资料 ), 则每当我们需要在某一指令存取资料的同一周期中撷取指令时便会引发结构危障 为了确保程序的推进, 该危障必须给予存取资料的指令较高的优先度 在仅有一个内存的五阶管道中, 该指令串的总运行时间为何? 我们已知资料危障可经由在程序中加入 nops 来消除 你可以对结构危障使用相同的方法吗? 为何如此? 29

27 x 2ps =22ps No! We can not add NOPs to the code to eliminate this hazard NOPs need to be fetched just like any other instructions, so this hazard must be addressed with a hardware hazard detection unit in the processor. 3

28 4.3 本习题旨在帮助你了解前馈 危障侦测以及 ISA 设计间的关系 习题中的各问题请参考下列指令串, 并假设其执行于五阶的管道式数据信道中 : add $s5,$s2,$s lw $s3,4($s5) lw $s2,($s2) or $s3,$s5,$s3 sw $s3,($s5) 4.3. (%) 若无前馈或是危障侦测, 试插入 nops 以确保正确的执行 add $s5,$s2,$s nop nop lw $s3,4($s5) lw $s2,($s2) nop or $s3,$s5,$s3 nop nop sw $s3,($s5) 3

29 4.3.2 (%) 重复 4.3., 但是只有在无法改变或重新安排这些指令来避免危障时才使用 nops 你可以假设程序代码中可以使用缓存器 $s7 来存放暂时值 add $s5,$s2,$s nop nop lw $s3,4($s5) lw $s2,($s2) nop or $s3,$s5,$s3 nop nop sw $s3,($s5) add $s5,$s2,$s lw $s2,($s2) nop lw $s3,4($s5) nop nop or $s3,$s5,$s3 nop nop sw $s3,($s5) 32

30 4.3.3 (%) 若处理器可做前馈但是我们忘记置入危障侦测单元, 则执行该指令串将会有何结果? Code executes correctly! add $s5,$s2,$s lw lw $s3,4($s5) $s2,($s2) or $s3,$s5,$s3 sw $s3,($s5) 33

31 Another Way to Fix a Data Hazard I n s t r. O r d e r add $, sub $4,$,$5 and $6,$,$7 or $8,$,$9 ALU IM Reg DM Reg ALU IM Reg DM Reg ALU IM Reg DM Reg ALU Fix data hazards by forwarding (bypassing) results as soon as they are available to where they are needed IM Reg DM Reg xor $4,$,$5 ALU IM Reg DM Reg 34

32 4.3 本习题旨在帮助你了解前馈 危障侦测以及 ISA 设计间的关系 习题中的各问题请参考下列指令串, 并假设其执行于五阶的管道式数据信道中 : add $s5,$s2,$s lw $s3,4($s5) lw $s2,($s2) or $s3,$s5,$s3 sw $s3,($s5) (%) 若有前馈功能, 则在执行该码的前五个周期中, 指出每一周期中图 4.6( 如次页 ) 所示的危障侦测 (3 条 ) 及前馈单元 (4 条 ) 将会设定的讯号 Note that IF/IDWrite is always equal to PCWrite, and ID/ExZero is always the opposite of PCWrite. 35

33 With forwarding datapath R5 Instruction Formats: all 32 bits wide OP rs rt rd sa funct OP rs rt immediate p. 297( 页 37) Fig R format I format 36

34 PC.Write IF/ID.Write Datapath with Hazard Detection p.34( 页 35) Fig. 4.6 Stall by letting an instruction that won t write anything go forward stall rs rt ID/EX.MemRead (load instruction) Insert NOP LOAD continues to next stage ID/EX.RegisterRt 37

35 4.6 本习题检视不同的分支预测器对以下重复出现的分支结果样式 ( 例如循环分支 ) 可以得到的准确度 : T,NT,T,T,NT (T: Taken, NT: Not Taken) 4.6. (5%) 对于该分支结果样式, 总是会发生 (Taken) 与总是不会发生 (Not taken) 的预测器其准确度各为何? Always Taken Always not-taken 3/5 = 6% 2/5 = 4% 38

36 4.6 本习题检视不同的分支预测器对以下重复出现的分支结果样式 ( 例如循环分支 ) 可以得到的准确度 : T,NT,T,T,NT (5%) 对于该样式的前四个分支, 假设 2 位分支预测器的开始状态位于图 4.63 的左下方 ( 即预测不发生 ), 则其准确度为何? Outcomes Correct or Incorrect Accuracy T, NT, T, T I, I, C, C 5% 图

37 4.6 本习题检视不同的分支预测器对以下重复出现的分支结果样式 ( 例如循环分支 ) 可以得到的准确度 : T,NT,T,T,NT (T: Taken, NT: Not Taken) (%) 假设该样式不断重复, 则 2 位分支预测器的准确度为何? T, NT, T, T, NT, T, NT, T, T, NT, I/C, I, C,C, I, C, I, C, C, I, C, I, C, C, I Accuracy = 3/5 = 6% 图

38 Chapter 5 Exercises ( 不用交作业, 纯练习 ) 5.2 快取在提供高效能内存阶层予处理器这方面具有重要性 下列为一序列的 32 位的内存字组存取地址 : 3,8,43,2,9,88,9,4,8,44,86, 对上述的每一次存取, 指出其二进制地址 以及在包含 6 个大小为一个字组的区块直接映对快取中的标签与索引值 并且假设快取原为空的话, 指出每一次存取会是命中或错失 43

39 Direct Mapped Cache p. 374( 页 388) 范例图 5.9 说明了一个 8 字组大小 采用直接对映的高速缓存如何响应处理器一连串的需求 Tag 2 Index

40 Index Valid Tag Miss p. 389 ( 页 375) Fig Miss 26 Hit 6 Miss 22 Hit 45

41 p. 389( 页 375) Fig Hit 3 Miss 8 () Miss 46

42 5.3 对于使用 32 位地址的直接映对快取, 地址中用存取快取的位如下 : 標籤 索引 位移值 快取的区块大小 ( 以字组作单位 ) 为若干? 每个区块有 8 个字组 ( ~ )(Bit 4-2) 快取中的区块数为若干? 32 个区块数 ( 看索引位数 Bit 9-5) Byte Tag Index Block address offset 48

43 A Typical Memory Hierarchy By taking advantage of the principle of locality Can present the user with as much memory as is available in the cheapest technology at the speed offered by the fastest technology On-Chip Components Control DRAM Datapath RegFile ITLB DTLB Instr Data Cache Cache Second Level Cache (SRAM) Main Memory (DRAM) Page table Secondary Memory (Disk) Speed (%cycles): ½ s s s s, s Size (bytes): s K s K s M s G s to T s Cost($/bit): highest lowest 5

44 Making Address Translation Fast A cache for address translations: translation lookaside buffer TLB (TLB) Virtual page number Valid Dirty Ref Valid Dirty Ref Page table Tag Physical page or disk address Physical page address p. 526( 页 444) Fig Physical memory TLB Typical values: 6-52 entries, miss-rate:.% - % miss-penalty: cycles Disk storage 52

45 A TLB in the Memory Hierarchy CPU VA ¼ t hit PA ¾ t miss TLB Lookup Cache Main Memory miss hit Translation Page table data A TLB miss is it a page fault or merely a TLB miss? If the page is loaded into main memory, then the TLB miss can be handled (in hardware or software) by loading the translation information from the page table into the TLB - Takes s of cycles to find and load the translation info into the TLB If the page is not in main memory, then it s a true page fault - Takes,, s of cycles to service a page fault TLB misses are much more frequent than true page faults 53

46 感谢同学本学期的辛苦学习与合作, 敬祝大家新年快乐心想事成! 54

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

Microsoft PowerPoint - CA_04 Chapter6 v ppt

Microsoft PowerPoint - CA_04 Chapter6 v ppt Chap. 6 Enhancing Performance with Pipelining 臺大電機系吳安宇教授 V1. 2007/04/20 臺大電機吳安宇教授 - 計算機結構 1 Outline 6.1 An Overview of Pipelining 6.2 A Pipelined Datapath 6.3 Pipelined Control 6.4 Data Hazards and Forwarding

More information

单周期数据通路

单周期数据通路 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2)

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2) Computer Architecture Fall, 2017 Week 13 2017.12.04 [Group 11] 1. 請詳述為何在 MIPS 中不會發生 WAR 與 WAW 這兩種 Hazards ANS: Use simple, fixed designs WAR: 因為 Write 是第五個 Stage,Read 是第二個 Stage, 因此 Write 永遠在 Read 後面,

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

<4D F736F F D20AD70BAE2BEF7B2D5C2B4BB50B5B2BA632D3936B8D5C344B8D4B8D12DB2C4A440A6B8B0C9BB7EB8EAAEC62D2D2D312E646F63>

<4D F736F F D20AD70BAE2BEF7B2D5C2B4BB50B5B2BA632D3936B8D5C344B8D4B8D12DB2C4A440A6B8B0C9BB7EB8EAAEC62D2D2D312E646F63> 計算機組織與結構 96 年試題詳解 - 勘誤表 [ 一 ] 96-6 頁, 第 5 題解答修正為 : (C) 汪兆慶老師提供 96-6 頁, 第 7 題解答修正為 : 7. (B) 執行指令數 = 1+(1+1+1+1) 20+1+1+1 = 84 執行週期數 = 1+(1 2+1+1+1 2) 20+1 2+1+1 = 125 CPI = 125/84 MIPS = [2GHz/(125/84)]/10

More information

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 1...3 2...20 3...28 4...41 5 Windows SQL Server...47 Microsoft SQL Server 2005 DBSRV1 Microsoft SQL Server

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

[group6] 4. The data cache has 80% hit rate and miss penalty is 120 cycles. 30% of instructions are load and store. The instruction cache has a hit ra

[group6] 4. The data cache has 80% hit rate and miss penalty is 120 cycles. 30% of instructions are load and store. The instruction cache has a hit ra Computer Architecture Fall, 2017 Week 15 2017.12.18 [group2] 1. 請說明如何改善 cache performance, 並舉例說明之 Reduce the time to hit in the cache Decreasing the miss ratio( 可利用 associative cashes 來取代 direct mapped

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

lecture13

lecture13 Lecture 13: Cache V 1 Cache 大 小 Block 大 小 和 缺 失 率 的 关 系 Cache 性 能 由 缺 失 率 确 定, 而 缺 失 率 与 Cache 大 小 Block 大 小 Cache 级 数 等 有 关 Cache 大 小 :Cache 越 大,Miss 率 越 低, 但 成 本 越 高! Block 大 小 :Block 大 小 与 Cache 大 小

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

PROFIBUS3.doc

PROFIBUS3.doc PLC PLC ProfiBus 3. PROFIBUS-DP PROFIBUS-DP PROFIBUS-DP PROFIBUS S7 STEP7 SIMATIC NET S5 COM PROFIBUS COM5431 PROFIBUS-DP GSD GSD *.GSD *. GSE GSD S7 STEP7 PROFIBUS DP S7-400 CPU416-2DP S7-200 PROFIBUS

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

ebook 132-6

ebook 132-6 6 SQL Server Windows NT Windows 2000 6.1 Enterprise Manager SQL Server Enterprise Manager( ) (Microsoft Management C o n s o l e M M C ) Enterprise Manager SQL Server Enterprise Manager 6.1.1 Enterprise

More information

Microsoft PowerPoint ren-build-CPU [兼容模式]

Microsoft PowerPoint ren-build-CPU [兼容模式] 嵌入式系统设计与应用 如何设计一个处理器? 从 0 到 1 西安交通大学电信学院 任鹏举 如何设计一个处理器? 从 0 到 1 如何设计一个处理器? 如何扩展一个处理器的指令集? 是否可以从处理器的指令集反向设计出相应的处理器?From ISA to Micro-Architecture? 如何设计一个处理器? 从 0 到 1 Application Software programs 单时钟处理器

More information

Oracle 4

Oracle 4 Oracle 4 01 04 Oracle 07 Oracle Oracle Instance Oracle Instance Oracle Instance Oracle Database Oracle Database Instance Parameter File Pfile Instance Instance Instance Instance Oracle Instance System

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

User’s Manual

User’s Manual V7 用 户 手 册 亿 图 为 您 专 业 图 表 设 计 提 供 最 佳 解 决 方 案 2004-2014 EdrawSoft. All right reserved. Edraw and Edraw logo are registered trademarks of EdrawSoft. 目 录 亿 图 怎 样 优 越 于 其 他 软 件... 5 亿 图 7 个 新 功 能... 6 为

More information

第一章 Linux與網路資源

第一章 Linux與網路資源 1 28 Proxy Server 28-1 Proxy proxy Server rpm qa grep squid Linux Proxy Proxy Proxy Proxy Proxy Request Proxy Proxy Proxy RedHat Linux Fedora #mount /mnt/cdrom squid squid Proxy #cd /mnt/cdrom/redhat/rpms

More information

542 33 1 20 20 6 435 15 311 48 1 4 3 2 0 8 18 200 4 1,888 305 62 2 2 3 61 42 1,346 47 390 40 12 2 2 6 41 8 7 3 3 1 18 301 1 19 24 14 3 3 12 335 110 1 1 1, 582 45 76 547 1 147 46 1,103 96 1 22 8 6,721 70

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC General instruction format for machine code 611 37100 微處理機原理與應用 Lecture 04-4 MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC 4.1 Converting Assembly Language Instructions to

More information

目 錄 壹 青 輔 會 結 案 附 件 貳 活 動 計 劃 書 參 執 行 內 容 一 教 學 內 容 二 與 當 地 教 師 教 學 交 流 三 服 務 執 行 進 度 肆 執 行 成 效 一 教 學 課 程 二 與 當 地 教 師 教 學 交 流 三 服 務 滿 意 度 調 查 伍 服 務 檢

目 錄 壹 青 輔 會 結 案 附 件 貳 活 動 計 劃 書 參 執 行 內 容 一 教 學 內 容 二 與 當 地 教 師 教 學 交 流 三 服 務 執 行 進 度 肆 執 行 成 效 一 教 學 課 程 二 與 當 地 教 師 教 學 交 流 三 服 務 滿 意 度 調 查 伍 服 務 檢 2 0 1 0 年 靜 宜 青 年 國 際 志 工 泰 北 服 務 成 果 報 告 指 導 單 位 : 行 政 院 青 年 輔 導 委 員 會 僑 務 委 員 會 主 辦 單 位 : 靜 宜 大 學 服 務 學 習 發 展 中 心 協 力 單 位 : 靜 宜 大 學 師 資 培 育 中 心 財 團 法 人 台 灣 明 愛 文 教 基 金 會 中 華 民 國 九 十 九 年 九 月 二 十 四 日 目

More information

EC51/52 GSM /GPRS MODEN

EC51/52 GSM /GPRS MODEN EC51/52 GSM /GPRS MODEN AT SMS aoe EC66.com 2004.11 ... 2 1 GSM AT... 3 2 EC51... 4 3 PDU... 4 4 PDU... 5 5... 7 6 TEXT... 8 7... 9 8.... 9 9.... 9 http://www.ec66.com/ 1 AT GPRS Modem SMS AT EC51 EC52

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP Serial ATA ( Sil3132)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 10 (5) S A T A... 12 Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

Tel: Fax: TTP-344M/246M /

Tel: Fax: TTP-344M/246M / TTP-344M/246M / True Type font David Turner, Robert Wilhelm Werner Lemberg The Free Type Project 235 16 8 2 i- TTP-344M/246M...1 1.1...1 1.2...1 1.2.1...1 1.2.2 /...2 1.2.3...2 1.2.4...2 1.3...3 1.4...3

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

CA-C750К

CA-C750К 1 3 3 4 PC 4 USB 5 5 6 8 9 11 mediasync Manager?...13 mediasync Manager 15 25 38 39 41 41 DRM...44 Image Manager...44 47 49 49 50 50 51 51 51 52 / 52 A-B 53 MP3 53 /FM 54 FM 55 FM 55 BMP56 56 57 57 58

More information

/ / (FC 3)...

/ / (FC 3)... Modbus/TCP 1.0 1999 3 29 Andy Swales Schneider aswales@modicon.com ... 2 1.... 3 2.... 3 2.1.. 3 2.2..4 2.3..4 2.4... 5 3.... 5 3.1 0... 5 3.2 1... 5 3.3 2... 6 3.4 / /... 7 4.... 7 5.... 8 5.1 0... 9

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

RAGE来咯!关于 ID TECH 5 MEGATEXTURE 的一些技术信息更新

RAGE来咯!关于 ID TECH 5 MEGATEXTURE 的一些技术信息更新 RAGE 来 了 --- 关 于 ID TECH 5 MEGATEXTURE 的 一 些 技 术 信 息 更 新 H3D 姚 勇 信 息...1 介 绍...2 一, 目 的...2 二, 实 现...2 1, 预 处 理...3 1.1 贴 图 预 处 理... 3 1.2 几 何 预 处 理... 7 2, 绘 制...7 3, 具 体 步 骤... 8 3.1 判 断 本 帧 绘 制 要 用

More information

高中英文科教師甄試心得

高中英文科教師甄試心得 高 中 英 文 科 教 師 甄 試 心 得 英 語 學 系 碩 士 班 林 俊 呈 高 雄 市 立 高 雄 高 級 中 學 今 年 第 一 次 參 加 教 師 甄 試, 能 夠 在 尚 未 服 兵 役 前 便 考 上 高 雄 市 立 高 雄 高 級 中 學 專 任 教 師, 自 己 覺 得 很 意 外, 也 很 幸 運 考 上 後 不 久 在 與 雄 中 校 長 的 會 談 中, 校 長 的 一 句

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

管道建模基础.ppt

管道建模基础.ppt AVEVA 2004.11.4 Pdms (database hierarchy) (PipeworkModelling) PIPE WORLD BRANCH PDMS FLANGE,Elbow.. SITE Pipe routing is probably the activity that consumes most time on any large project and it is also

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

Name__________________________________

Name__________________________________ 6.823 180 21 5 : 5 Part A: 1 5 10 Part B: 6 11 12 Part C: 12 14 12 Part D: 15 23 34 Part E: 24 26 18 Part F: 27 28 16 Part G: 29 32 25 Part H: 33 10 Part I: 34 38 28 Part H: 39 40 10 : 180 Part A 10 Cache

More information

LSC操作说明

LSC操作说明 1 C H R I S T A L P H A 1-4 LSC 型 Part. No. 102041 A L P H A 2-4 LSC 型 Part. No. 10204 冷 冻 干 燥 机 操 作 说 明 新 研 制 的 LSC-8 控 制 器, 具 备 图 形 显 示 功 能, 能 以 数 据 表 形 式 显 示 参 数, 并 可 选 配 控 制 软 件 LSC-8 1/4 VGA 大 屏 幕

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt 8088/8086 MICROPROCSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS The MOVE The move (MOV) instruction is used to transfer a byte or a word of data from a source operand to a destination operand

More information

1505.indd

1505.indd 上 海 市 孙 中 山 宋 庆 龄 文 物 管 理 委 员 会 上 海 宋 庆 龄 研 究 会 主 办 2015.05 总 第 148 期 图 片 新 闻 2015 年 9 月 22 日, 由 上 海 孙 中 山 故 居 纪 念 馆 台 湾 辅 仁 大 学 和 台 湾 图 书 馆 联 合 举 办 的 世 纪 姻 缘 纪 念 孙 中 山 先 生 逝 世 九 十 周 年 及 其 革 命 历 程 特 展

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

ARP ICMP

ARP ICMP ARP ICMP 2 9-1 ARP 9-2 ARP 9-3 ARP 9-4 ICMP 9-5 ICMP 9-6 ICMP 9-7 ICMP 3 ARP ICMP TCP / IP, IP ARP ICMP 3 IP, ARP ICMP IP ARP ICMP 2, 4 9-1 ARP, MAC, IP IP, MAC ARP Address Resolution Protocol, OSI ARP,,

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

计算机网络

计算机网络 (delay latency) = + + LEC. A B A 0 0 0 B .6. = 0ms, 0Mb/s, 0x0 - x0x0 =x0 bit RTT RTT (Round-Trip Time) .7 OSI/RM OSI/RM OSI/RM TCP/IP (application layer) (transport layer) (network layer)

More information

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone,

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, respectively, and has been certified by the developer

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt 8088/8086 MICROPROCESSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS 8088/8086 MICROPROCESSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS 5.1 Data-Transfer Instructions 5.2 Arithmetic Instructions

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

csg(1_29)cs.p65

csg(1_29)cs.p65 DP-80F 2 2 3 4 5 4 5 2 3 4 5 3 ENERGY STAR ENERGY STAR ENERGY STAR 4 3 3 4 7 7 8 8 8 9 0 2 2 3 4 6 7 8 8 9 20 2 22 23 23 24 26 27 27 28 29 30 3 32 33 5 37 37 38 38 39 4 46 46 48 49 50 52 6 7 8 9 q w e

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

CDWA Mapping. 22 Dublin Core Mapping

CDWA Mapping. 22 Dublin Core Mapping (version 0.23) 1 3... 3 3 3 5 7 10 22 CDWA Mapping. 22 Dublin Core Mapping. 24 26 28 30 33 2 3 X version 0.2 ( ) 4 Int VarcharText byte byte byte Id Int 10 Management Main Code Varchar 30 Code Original

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information