单周期数据通路

Size: px
Start display at page:

Download "单周期数据通路"

Transcription

1 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2

2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address Write Data Read Data Program Bytes Data Processor-Memory Interface

3 处理器性能 CPI 计算机的性能决定于 : 指令总数 时钟周期时间 每条指令的时钟周期数目 处理器设计 ( 数据通路和控制 ) 将决定 : 时钟周期时间 每条指令的时钟周期数目 单周期处理器 : 优点 : 每条指令一个时钟周期 缺点 : 时钟周期时间太长 指令总数 周期时间

4 如何设计处理器 : 循序渐进. 分析指令系统 => 数据通路需求通过寄存器传输描述每条指令的意图针对 ISA 寄存器, 数据通路必须具备必要的存储元件可能需要多个数据通路必须支持每种寄存器传输 2. 选择一组数据通路部件, 建立时钟同步方法 3. 根据需求, 组装数据通路 4. 分析每条指令的实现, 以确定如何设置影响寄存器传输的控制点 5. 装配控制逻辑

5 RISCV32I 指令格式 Not in this Lecture

6 6 State Required by RV32I ISA Each instruction reads and updates this state during execution: Registers (x..x3) Register file (or regfile) Reg holds 32 registers x 32 bits/register: Reg[].. Reg[3] First register read specified by rs field in instruction Second register read specified by rs2 field in instruction Write register (destination) specified by rd field in instruction x is always (writes to Reg[]are ignored) Program Counter (PC) Holds address of current instruction Memory (MEM) Holds both instructions & data, in one 32-bit byte-addressed memory space We ll use separate memories for instructions (IMEM) and data (DMEM) Later we ll replace these with instruction and data caches Instructions are read (fetched) from instruction memory Load/store instructions access data memory

7 7 One-Instruction-Per-Cycle RISC-V Machine pc clock IMEM Reg[] DMEM Combinationa l Logic On every tick of the clock, the computer executes one instruction Current state outputs drive the inputs to the combinational logic, whose outputs settles at the values of the state before the next clock edge At the rising clock edge, all the state elements are updated with the combinational logic outputs, and execution moves to the next clock cycle

8 mux PC IMEM Reg[] DMEM 8 Basic Phases of Instruction Execution rd rs rs2 ALU +4 imm Clock. Instruction Fetch time 2. Decode/ Register Read 5. Register 3. Execute 4. Memory Write

9 9 Implementing the add instruction add rd, rs, rs2 Instruction makes two changes to machine s state: Reg[rd] = Reg[rs] + Reg[rs2] PC = PC + 4

10 Datapath for add pc+4 pc +4 IMEM inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2 ] + alu inst[3:] RegWriteEnable (RegWEn) Control Logic

11 Timing Diagram for add clock pc+4 pc +4 IMEM inst[3:] Reg[] DataD inst[:7] AddrD inst[9:5] AddrADataA inst[24:2] AddrBDataB RegWEn Reg[rs ] Reg[rs2 ] time + alu Clock PC PC inst[3:] Reg[rs] Reg[rs2] add x,x2,x3 Reg[2] Reg[3] add x6,x7,x9 Reg[7] Reg[9] alu Reg[2]+Reg[3] Reg[7]+Reg[9] Reg[]??? Reg[2]+Reg[3]

12 Implementing the sub instruction sub rd, rs, rs2 Almost the same as add, except now have to subtract operands instead of adding them inst[3] selects between add and subtract

13 Datapath for add/sub pc+4 pc +4 IMEM inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2 ] ALU alu inst[3:] RegWEn (=write, =no write) Control Logic ALUSel (Add=/Sub=)

14 Implementing other R-Format instructions All implemented by decoding funct3 and funct7 fields and selecting appropriate ALU function

15 5 Implementing the addi instruction RISC-V Assembly Instruction: addi x5,x,-5 imm=-5 rs= ADD rd=5 OP-Imm

16 Datapath for add/sub pc+4 pc +4 IMEM inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2 ] ALU alu inst[3:] RegWEn (=write, =no write) Control Logic ALUSel (Add=/Sub=)

17 Adding addi to datapath +4 Reg[] pc+4 pc IMEM inst[:7] inst[9:5] inst[24:2] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2 ] ALU alu inst[3:2] Imm. Gen imm[3:] inst[3:] ImmSel=IRegWEn= BSel= ALUSel=Add Control Logic

18 I-Format immediates inst[3:] inst[3]-(sign-extension) inst[3:2] inst[3:2] Imm. Gen ImmSel=I imm[3:] imm[3:] High 2 bits of instruction (inst[3:2]) copied to low 2 bits of immediate (imm[:]) Immediate is sign-extended by copying value of inst[3] to fill the upper 2 bits of the immediate value (imm[3:2])

19 Adding addi to datapath +4 Reg[] pc+4 pc IMEM inst[:7] inst[9:5] AddrADataA inst[24:2] AddrBDataB inst[3:2] DataD AddrD Imm. Gen Reg[rs] Reg[rs2 ] imm[3:] ALU alu Also works for all other I- format arithmetic instruction (slti,sltiu,andi,ori, xori,slli,srli,srai) just by changing ALUSel inst[3:] ImmSel=IRegWEn= BSel= ALUSel=Add Control Logic

20 Implementing Load Word instruction RISC-V Assembly Instruction: lw x4, 8(x2) imm=+8 rs=2 LW rd=4 LOAD /6/27

21 Adding addi to datapath +4 Reg[] pc+4 pc IMEM inst[:7] inst[9:5] inst[24:2] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2 ] ALU alu inst[3:2] Imm. Gen imm[3:] inst[3:] ImmSel=IRegWEn= BSel= ALUSel=Add Control Logic

22 Adding lw to datapath pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2] ALU Addr alu DMEM DataR mem wb inst[3:2] Imm. Gen imm[3:] inst[3:] ImmSel RegWEn BSel ALUSel MemRW WBSel

23 Adding lw to datapath pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2] ALU Addr alu DMEM DataR mem wb inst[3:2] Imm. Gen imm[3:] inst[3:] ImmSel=IRegWEn= Bsel= ALUSel=Add MemRW=Read WBSel=

24 All RV32 Load Instructions funct3 field encodes size and signedness of load data Supporting the narrower loads requires additional circuits to extract the correct byte/halfword from the value loaded from memory, and sign- or zero-extend the result to 32 bits before writing back to register file.

25 5 Implementing Store Word instruction RISC-V Assembly Instruction: sw x4, 8(x2) offset[:5] = rs2=4 rs=2 SW offset[4:] =8 STORE combined 2-bit offset = 8

26 Adding lw to datapath pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2] ALU Addr alu DMEM DataR mem wb inst[3:2] Imm. Gen imm[3:] inst[3:] ImmSel RegWEn BSel ALUSel MemRW WBSel

27 Adding sw to datapath pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem wb inst[3:7] Imm. Gen imm[3:] inst[3:] ImmSel RegWEn Bsel ALUSel MemRW WBSel=

28 Adding sw to datapath pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem wb inst[3:7] Imm. Gen imm[3:] inst[3:] ImmSel=S RegWEn= Bsel= ALUSel=Add MemRW=Write WBSel=* *= Don t Care

29 I-Format immediates inst[3:] inst[3]-(sign-extension) inst[3:2] inst[3:2] Imm. Gen ImmSel=I imm[3:] imm[3:] High 2 bits of instruction (inst[3:2]) copied to low 2 bits of immediate (imm[:]) Immediate is sign-extended by copying value of inst[3] to fill the upper 2 bits of the immediate value (imm[3:2])

30 I & S Immediate Generator inst[3:] imm[:] rs funct3 rd I-opcode imm[:5] rs2 rs funct3 imm[4:] S-opcode I S 3 inst[3](sign-extension) inst[3:25] Just need a 5-bit mux to select between two positions where low five bits of immediate can reside in instruction Other bits in immediate are wired to fixed positions in instruction inst[24:2] inst[3](sign-extension) inst[3:25] inst[:7] 5 4 imm[3:] I S

31 Implementing Branches B-format is mostly same as S-Format, with two register sources (rs/rs2) and a 2-bit immediate But now immediate represents values -496 to +494 in 2-byte increments The 2 immediate bits encode even 3-bit signed byte offsets (lowest bit of offset is always zero, so no need to store it)

32 Adding sw to datapath pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem wb inst[3:7] Imm. Gen imm[3:] inst[3:] ImmSel RegWEn Bsel ALUSel MemRW WBSel=

33 Adding branches to datapath alu pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Branch Comp. pc Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem wb inst[3:7] Imm. Gen imm[3:] PCSel inst[3:] ImmSel RegWEn BrUnBrEqBrLT BSel ASel ALUSel MemRW WBSel

34 Adding branches to datapath IMEM ALU Imm. Gen +4 DMEM Branch Comp. Reg[] AddrA AddrB DataA AddrD DataB DataD Addr DataW DataR pc inst[:7] inst[9:5] inst[24:2] inst[3:7] alu mem wb alu pc+4 Reg[rs] pc imm[3:] Reg[rs2] inst[3:] ImmSel=BRegWEn=BrUnBrEqBrLT ASel= Bsel= ALUSel=Add MemRW=Read WBSel=* PCSel=taken/not-taken wb

35 Branch Comparator A B Branch Comp. BrEq =, if A=B BrLT =, if A < B BrUn = selects unsigned comparison for BrLT, =signed BGE branch: A >= B, if!(a<b) BrUnBrEqBrLT

36 Multiply Branch Immediates by Shift? 2-bit immediate encodes PC-relative offset of -496 to +494 bytes in multiples of 2 bytes Standard approach: treat immediate as in range , then shift left by bit to multiply by 2 for branches s imm[:5] rs2 rs funct3 imm[4:] B-opcode sign-extension sign-extension s imm[:5] imm[4:] s imm[:5] imm[4:] S-Immediate B-Immediate (shift left by ) Each instruction immediate bit can appear in one of two places in output immediate value so need one 2-way mux per bit

37 RISC-V Branch Immediates 2-bit immediate encodes PC-relative offset of -496 to +494 bytes in multiples of 2 bytes RISC-V approach: keep immediate bits in fixed position in output value, and rotate LSB of S-format to be bit 2 of B-format sign=imm[] imm[:5] imm[4:] S-Immediate sign=imm[2] imm[:5] imm[4:] B-Immediate (shift left by ) imm[] Only one bit changes position between S and B, so only need a single-bit 2-way mux

38 RISC-V Immediate Encoding Instruction Encodings, inst[3:] 32-bit immediates produced, imm[3:] Upper bits sign-extended from inst[3] always Only bit 7 of instruction changes role in immediate between S and B

39 Implementing JALR Instruction (I-Format) JALR rd, rs, immediate Writes PC+4 to Reg[rd] (return address) Sets PC = Reg[rs] + immediate Uses same immediates as arithmetic and loads no multiplication by 2 bytes

40 Adding branches to datapath alu pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Branch Comp. pc Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem wb inst[3:7] Imm. Gen imm[3:] PCSel inst[3:] ImmSel RegWEn BrUnBrEqBrLT BSel ASel ALUSel MemRW WBSel

41 Adding jalr to datapath alu pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Branch Comp. pc Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem pc+4 2 wb inst[3:7] Imm. Gen imm[3:] PCSel inst[3:] ImmSel RegWEn BrUnBrEqBrLT BSel ASel ALUSel MemRW WBSel

42 Adding jalr to datapath IMEM ALU Imm. Gen +4 DMEM Branch Comp. Reg[] AddrA AddrB DataA AddrD DataB DataD Addr DataW DataR 2 pc inst[:7] inst[9:5] inst[24:2] inst[3:7] pc+4 alu mem wb alu pc+4 Reg[rs] pc imm[3:] Reg[rs2] inst[3:] ImmSel=B RegWEn= BrUn=* BrEq=*BrLT=* Asel= Bsel= ALUSel=Add MemRW=Read WBSel=2 PCSel wb

43 Implementing jal Instruction JAL saves PC+4 in Reg[rd] (the return address) Set PC = PC + offset (PC-relative jump) Target somewhere within ±2 9 locations, 2 bytes apart ± bit instructions Immediate encoding optimized similarly to branch instruction to reduce hardware cost

44 Adding jal to datapath alu pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Branch Comp. pc Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem pc+4 2 wb inst[3:7] Imm. Gen imm[3:] PCSel inst[3:] ImmSel RegWEn BrUnBrEqBrLT BSel ASel ALUSel MemRW WBSel

45 Adding jal to datapath IMEM ALU Imm. Gen +4 DMEM Branch Comp. Reg[] AddrA AddrB DataA AddrD DataB DataD Addr DataW DataR 2 pc inst[:7] inst[9:5] inst[24:2] inst[3:7] pc+4 alu mem wb alu pc+4 Reg[rs] pc imm[3:] Reg[rs2] inst[3:] ImmSel=J RegWEn= BrUn=* BrEq=*BrLT=* Asel= Bsel= ALUSel=Add MemRW=Read WBSel=2 PCSel wb

46 Upper Immediate instructions Has 2-bit immediate in upper 2 bits of 32-bit instruction word One destination register, rd Used for two instructions LUI Load Upper Immediate (add to zero) AUIPC Add Upper Immediate to PC

47 Implementing lui IMEM ALU Imm. Gen +4 DMEM Branch Comp. Reg[] AddrA AddrB DataA AddrD DataB DataD Addr DataW DataR 2 inst[:7] inst[9:5] inst[24:2] inst[3:7] pc+4 alu mem wb alu pc+4 Reg[rs] pc imm[3:] Reg[rs2] inst[3:] ImmSel=URegWEn= BrUn=* BrE=*BrLT=* Asel=* Bsel= ALUSel=BMemRW=Read WBSel= PCSel=pc+4 wb pc

48 Implementing auipc IMEM ALU Imm. Gen +4 DMEM Branch Comp. Reg[] AddrA AddrB DataA AddrD DataB DataD Addr DataW DataR 2 inst[:7] inst[9:5] inst[24:2] inst[3:7] pc+4 alu mem wb alu pc+4 Reg[rs] pc imm[3:] Reg[rs2] inst[3:] ImmSel=URegWEn= BrUn=* BrE=*BrLT=* Asel= Bsel= ALUSel=AddMemRW= WBSel= PCSel=pc+4 wb pc

49 Single-Cycle RISC-V RV32I Datapath alu pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Branch Comp. pc Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem pc+4 2 wb inst[3:7] Imm. Gen imm[3:] PCSel inst[3:] ImmSel RegWEn BrUnBrEq BrLT BSel ASel ALUSel MemRW WBSel RV32I has 47 instructions total 37 instructions were covered!

50 5 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address Write Data Read Data Program Bytes Data Processor-Memory Interface

51 Single-Cycle RISC-V RV32I Datapath alu pc+4 pc +4 IMEM wb inst[:7] inst[9:5] inst[24:2] Reg[] DataD AddrD AddrADataA AddrBDataB Branch Comp. pc Reg[rs] Reg[rs2] ALU DMEM alu Addr DataR DataW mem pc+4 2 wb inst[3:7] Imm. Gen imm[3:] PCSel inst[3:] ImmSel RegWEn BrUnBrEqBrLT BSel ASel ALUSel MemRW WBSel Control Logic

52 5 2 Control Logic Truth Table (incomplete) Inst[3:] BrEq BrLT PCSel ImmSel BrUn ASel BSel ALUSel MemRW RegWEn WBSel add * * +4 * * Reg Reg Add Read ALU sub * * +4 * * Reg Reg Sub Read ALU (R-R Op) * * +4 * * Reg Reg (Op) Read ALU addi * * +4 I * Reg Imm Add Read ALU lw * * +4 I * Reg Imm Add Read Mem sw * * +4 S * Reg Imm Add Write * beq * +4 B * PC Imm Add Read * beq * ALU B * PC Imm Add Read * bne * ALU B * PC Imm Add Read * bne * +4 B * PC Imm Add Read * blt * ALU B PC Imm Add Read * bltu * ALU B PC Imm Add Read * jalr * * ALU I * Reg Imm Add Read PC+4 jal * * ALU J * PC Imm Add Read PC+4 auipc * * +4 U * PC Imm Add Read ALU

53 5 3 Control Realization Options ROM Read-Only Memory Regular structure Can be easily reprogrammed fix errors add instructions Popular when designing control logic manually Combinatorial Logic Today, chip designers use logic synthesis tools to convert truth tables to networks of gates

54 RV32I, a nine-bit ISA! inst[3] inst[4:2] inst[6:2] Not in this Lecture Instruction type encoded using only 9 bits inst[3],inst[4:2], inst[6:2]

55 第五步 : 每个控制信号的逻辑 (mini-mips 示例 ) Branch <= if (OP == BEQ) then else Jump <= if (OP == JUMP) then else ALUsrc ALUctr ExtOp MemWr <= if (OP == R-type) then RegB else Immed <= if (OP == R-type) then funct elseif (OP == ORi) then OR elseif (OP == BEQ) then Sub? else Add <= if (OP == ORi) then Zero else Sign <= (OP == Store) MemtoReg <= (OP == Load) RegWr: <= if ((OP == Store) (OP == BEQ)) then else RegDst: <= if ((OP == Load) (OP == ORi)) then else

56 主控制器 ( 主控 ) 的真值表 (mini-mips 示例 ) op 6 RegDst ALUSrc MemtoReg RegWrite MemWrite Branch Jump ExtOp 主控 RegDst ALUSrc : ALUop R-type ori lw sw beq jump x 3 ALUop (Symbolic) R-type Or Add Add Subtract xxx ALUop <2> x func op ALUop <> x ALUop <> x 6 x x ALU 控制 ( 局部 ) x x x ALUctr x x x x 3

57 RegWrite 的真值表 (mini-mips 示例 ) op R-type ori lw sw beq jump RegWrite x x x RegWrite = R-type + ori + lw =!op<5> &!op<4> &!op<3> &!op<2> &!op<> &!op<> (Rtype) +!op<5> &!op<4> & op<3> & op<2> &!op<> & op<> (ori) + op<5> &!op<4> &!op<3> &!op<2> & op<> & op<> (lw) op<5>.. op<5>.. op<5>.. op<5>.. op<5>.. <> <> <> <> <> op<5>.. op<> R-type ori lw sw beq jump RegWrite

58 主控的 PLA 实现 ((mini-mips 示例 )) op<5>.. op<5>.. op<5>.. op<5>.. op<5>.. <> <> <> <> <> op<5>.. op<> R-type ori lw sw beq jump RegWrite ALUSrc RegDst MemtoReg MemWrite Branch Jump ExtOp ALUop<2> ALUop<> ALUop<>

59 5 9 ROM-based Control -bit address (inputs) Inst[3,4:2,6:2] BrEq BrLT 9 ROM PCSel ImmSel[2:] BrUn ASel BSel ALUSel[3:] MemRW RegWEn WBSel[:] 5 data bits (outputs)

60 Address Decoder 6 ROM Controller Implementation add sub or Control Word for add Control Word for sub Control Word for or Inst[] BrEQ BrLT jal Controller output (PCSel, ImmSel, )

61 6 Instruction Timing IF ID EX MEM WB Total I-MEM Reg Read ALU D-MEM Reg W 2 ps ps 2 ps 2 ps ps 8 ps

62 Instruction Timing Instr IF = 2ps ID = ps ALU = 2ps MEM=2ps WB = ps Total add X X X X 6ps beq X X X 5ps jal X X X 5ps lw X X X X X 8ps sw X X X X 7ps Maximum clock frequency f max = /8ps =.25 GHz Most blocks idle most of the time E.g. f max,alu = /2ps = 5 GHz! How can we keep ALU busy all the time? 5 billion adds/sec, rather than just.25 billion? Idea: Factories use three employee shifts - equipment is always busy!

63 6 3 Performance Measures Our RISC-V executes instructions at.25 GHz instruction every 8 ps Can we improve its performance? What do we mean with this statement? Not so obvious: Quicker response time, so one job finishes faster? More jobs per unit time (e.g. web server returning pages)? Longer battery life?

64 6 4 Transportation Analogy Sports Car Bus Passenger Capacity 2 5 Travel Speed 2 mph 5 mph Gas Mileage 5 mpg 2 mpg 5 Mile trip: Sports Car Bus Travel Time 5 min 6 min Time for passengers 75 min 2 min Gallons per passenger 5 gallons.5 gallons

65 Computer Analogy Transportation Computer Trip Time Time for passengers Program execution time: e.g. time to update display Throughput: e.g. number of server requests handled per hour Gallons per passenger Energy per task*: e.g. how many movies you can watch per battery charge or energy bill for datacenter * Note: power is not a good measure, since low-power CPU might run for a long time to complete one task consuming more energy than faster computer running at higher power for a shorter time

66 Iron Law of Processor Performance Time = Instructions Cycles Time Program Program * Instruction * Cycle

67 6 7 Instructions per Program Determined by Task Algorithm, e.g. O(N 2 ) vs O(N) Programming language Compiler Instruction Set Architecture (ISA)

68 6 8 (Average) Clock cycles per Instruction Determined by ISA Processor implementation (or microarchitecture) E.g. for our single-cycle RISC-V design, CPI = Complex instructions (e.g. strcpy), CPI >> Superscalar processors, CPI < (next lecture)

69 6 9 Time per Cycle (/Frequency) Determined by Processor microarchitecture (determines critical path through logic gates) Technology (e.g. 4nm versus 28nm) Power budget (lower voltages reduce transistor speed)

70 Speed Tradeoff Example For some task (e.g. image compression) Processor A Processor B # Instructions Million.5 Million Average CPI 2.5 Clock rate f 2.5 GHz 2 GHz Execution time ms.75 ms Processor B is faster for this task, despite executing more instructions and having a lower clock rate!

71 Energy per Task Energy = Instructions Energy Program Program * Instruction Energy α Instructions * C V 2 Program Program Capacitance depends on technology, processor features e.g. # of cores Supply voltage, e.g. V Want to reduce capacitance and voltage to reduce energy/task

72 72 Energy Tradeoff Example Next-generation processor C (Moore s Law): -5 % Supply voltage, V sup : -5 % Energy consumption: - (-.85) 3 = -39 % Significantly improved energy efficiency thanks to Moore s Law AND Reduced supply voltage

73 Energy Iron Law Performance = Power * Energy Efficiency (Tasks/Second) (Joules/Second) (Tasks/Joule) Energy efficiency (e.g., instructions/joule) is key metric in all computing devices For power-constrained systems (e.g., 2MW datacenter), need better energy efficiency to get more performance at same power For energy-constrained systems (e.g., W phone), need better energy efficiency to prolong battery life

74 7 4 End of Scaling In recent years, industry has not been able to reduce supply voltage much, as reducing it further would mean increasing leakage power where transistor switches don t fully turn off (more like dimmer switch than onoff switch) Also, size of transistors and hence capacitance, not shrinking as much as before between transistor generations Power becomes a growing concern the power wall Cost-effective air-cooled chip limit around ~5W

75 Processor Trends Transistors (Thousands) Frequency (MHz) Power (W) Cores [Olukotun, Hammond,Sutter,Smith,Batten]

76 7 6 Pipelining A familiar example: Getting a university degree Year Year 2 Year 3 Year 4 Shortage of Computer scientists (your startup is growing): How long does it take to educate 6,?

77 7 7 Computer Scientist Education Option : serial 4 enter 4 graduate 4 graduate 4 graduate 4 4 years Option 2: pipelining year 6, in 6 years, average throughput is /year 7 years 4 years 4 years 4 years 6, in 7 years Steady state throughput is 4/year 4 graduate Resources used efficiently 4 graduate 4-fold improvement over serial education 4 graduate 4 graduate

78 7 8 Latency versus Throughput Latency Time from entering college to graduation Serial 4 years Pipelining 4 years Throughput Average number of students graduating each year Serial Pipelining 4 Pipelining Increases throughput (4x in this example) But does nothing to latency sometimes worse (additional overhead e.g. for shift transition)

79 7 9 Simultaneous versus Sequential What happens sequentially? What happens simultaneously? 4 years 4 graduate 4 graduate 4 graduate 4 graduate 4 graduate 4 graduate 4 graduate 4 graduate 4 graduate 4 graduate

80 8 Pipelining with RISC-V Phase Pictogram t step Serial Instruction Fetch 2 ps Reg Read ps ALU 2 ps Memory 2 ps Register Write ps t instruction t instruction 8 ps t cycle Pipelined 2 ps 2 ps 2 ps 2 ps 2 ps ps instruction sequence add t, t, t2 or t3, t4, t5 sll t6, t, t3 t cycle

81 Pipelining with RISC-V instruction sequence add t, t, t2 or t3, t4, t5 sll t6, t, t3 t instruction t cycle Single Cycle Pipelining Timing t step = 2 ps t cycle = 2 ps Register access only ps All cycles same length Instruction time, t instruction = t cycle = 8 ps ps Clock rate, f s /8 ps =.25 GHz /2 ps = 5 GHz Relative speed x 4 x

82 Sequential vs Simultaneous What happens sequentially, what happens simultaneously? t instruction = ps add t, t, t2 or t3, t4, t5 instruction sequence sll t6, t, t3 sw t, 4(t3) lw t, 8(t3) addi t2, t2, t cycle = 2 ps

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

Microsoft PowerPoint - CA_04 Chapter6 v ppt

Microsoft PowerPoint - CA_04 Chapter6 v ppt Chap. 6 Enhancing Performance with Pipelining 臺大電機系吳安宇教授 V1. 2007/04/20 臺大電機吳安宇教授 - 計算機結構 1 Outline 6.1 An Overview of Pipelining 6.2 A Pipelined Datapath 6.3 Pipelined Control 6.4 Data Hazards and Forwarding

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7

L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7 Compensation Design - L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7 100,000 80,000 $ 60,000 40,000 20,000 80,000

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

Microsoft Word - Final Exam Review Packet.docx

Microsoft Word - Final Exam Review Packet.docx Do you know these words?... 3.1 3.5 Can you do the following?... Ask for and say the date. Use the adverbial of time correctly. Use Use to ask a tag question. Form a yes/no question with the verb / not

More information

徐汇教育214/3月刊 重 点 关 注 高中生异性交往的小团体辅导 及效果研究 颜静红 摘 要 采用人际关系综合诊断量表 郑日昌编制并 与同性交往所不能带来的好处 带来稳定感和安全感 能 修订 对我校高一学生进行问卷测量 实验组前后测 在 够度过更快乐的时光 获得与别人友好相处的经验 宽容 量表总分和第 4 项因子分 异性交往困扰 上均有显著差 大度和理解力得到发展 得到掌握社会技术的机会 得到 异

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

ap15_chinese_interpersoanal_writing_ _response

ap15_chinese_interpersoanal_writing_ _response 2015 SCORING GUIDELINES Interpersonal Writing: 6 EXCELLENT excellence in 5 VERY GOOD Suggests excellence in 4 GOOD 3 ADEQUATE Suggests 2 WEAK Suggests lack of 1 VERY WEAK lack of 0 UNACCEPTABLE Contains

More information

Microsoft Word doc

Microsoft Word doc 中 考 英 语 科 考 试 标 准 及 试 卷 结 构 技 术 指 标 构 想 1 王 后 雄 童 祥 林 ( 华 中 师 范 大 学 考 试 研 究 院, 武 汉,430079, 湖 北 ) 提 要 : 本 文 从 结 构 模 式 内 容 要 素 能 力 要 素 题 型 要 素 难 度 要 素 分 数 要 素 时 限 要 素 等 方 面 细 致 分 析 了 中 考 英 语 科 试 卷 结 构 的

More information

by industrial structure evolution from 1952 to 2007 and its influence effect was first acceleration and then deceleration second the effects of indust

by industrial structure evolution from 1952 to 2007 and its influence effect was first acceleration and then deceleration second the effects of indust 2011 2 1 1 2 3 4 1. 100101 2. 100124 3. 100039 4. 650092 - - - 3 GDP U 20-30 60% 10% TK01 A 1002-9753 2011 02-0042 - 10 Analysis on Character and Potential of Energy Saving and Carbon Reducing by Structure

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

ΧΧΧΧ课程教学大纲(黑体,三号,段后1行)

ΧΧΧΧ课程教学大纲(黑体,三号,段后1行) 为 适 应 我 国 高 等 教 育 发 展 的 需 要, 提 高 大 学 英 语 教 学 质 量, 满 足 社 会 对 人 才 培 养 的 需 要, 按 照 教 育 部 深 化 大 学 英 语 教 学 改 革 的 精 神 和 要 求, 参 照 2007 年 教 育 部 高 教 司 修 订 颁 布 的 大 学 英 语 课 程 教 学 要 求, 针 对 我 校 的 实 际 情 况, 制 定 上 海 商

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

Microsoft Word - 11月電子報1130.doc

Microsoft Word - 11月電子報1130.doc 發 行 人 : 楊 進 成 出 刊 日 期 2008 年 12 月 1 日, 第 38 期 第 1 頁 / 共 16 頁 封 面 圖 話 來 來 來, 來 葳 格 ; 玩 玩 玩, 玩 數 學 在 11 月 17 到 21 日 這 5 天 裡 每 天 一 個 題 目, 孩 子 們 依 據 不 同 年 段, 尋 找 屬 於 自 己 的 解 答, 這 些 數 學 題 目 和 校 園 情 境 緊 緊 結

More information

國 立 政 治 大 學 教 育 學 系 2016 新 生 入 學 手 冊 目 錄 表 11 國 立 政 治 大 學 教 育 學 系 博 士 班 資 格 考 試 抵 免 申 請 表... 46 論 文 題 目 申 報 暨 指 導 教 授... 47 表 12 國 立 政 治 大 學 碩 博 士 班 論

國 立 政 治 大 學 教 育 學 系 2016 新 生 入 學 手 冊 目 錄 表 11 國 立 政 治 大 學 教 育 學 系 博 士 班 資 格 考 試 抵 免 申 請 表... 46 論 文 題 目 申 報 暨 指 導 教 授... 47 表 12 國 立 政 治 大 學 碩 博 士 班 論 國 立 政 治 大 學 教 育 學 系 2016 新 生 入 學 手 冊 目 錄 一 教 育 學 系 簡 介... 1 ( 一 ) 成 立 時 間... 1 ( 二 ) 教 育 目 標 與 發 展 方 向... 1 ( 三 ) 授 課 師 資... 2 ( 四 ) 行 政 人 員... 3 ( 五 ) 核 心 能 力 與 課 程 規 劃... 3 ( 六 ) 空 間 環 境... 12 ( 七 )

More information

<4D6963726F736F667420576F7264202D203338B4C12D42A448A4E5C3C0B34EC3FE2DAB65ABE1>

<4D6963726F736F667420576F7264202D203338B4C12D42A448A4E5C3C0B34EC3FE2DAB65ABE1> ϲ ฯ र ቑ ጯ 高雄師大學報 2015, 38, 63-93 高雄港港史館歷史變遷之研究 李文環 1 楊晴惠 2 摘 要 古老的建築物往往承載許多回憶 也能追溯某些歷史發展的軌跡 位於高雄市蓬 萊路三號 現為高雄港港史館的紅磚式建築 在高雄港三號碼頭作業區旁的一片倉庫 群中 格外搶眼 這棟建築建成於西元 1917 年 至今已將近百年 不僅躲過二戰戰 火無情轟炸 並保存至今 十分可貴 本文透過歷史考證

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

高中英文科教師甄試心得

高中英文科教師甄試心得 高 中 英 文 科 教 師 甄 試 心 得 英 語 學 系 碩 士 班 林 俊 呈 高 雄 市 立 高 雄 高 級 中 學 今 年 第 一 次 參 加 教 師 甄 試, 能 夠 在 尚 未 服 兵 役 前 便 考 上 高 雄 市 立 高 雄 高 級 中 學 專 任 教 師, 自 己 覺 得 很 意 外, 也 很 幸 運 考 上 後 不 久 在 與 雄 中 校 長 的 會 談 中, 校 長 的 一 句

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

Microsoft PowerPoint - AWOL - Acrobat Windows Outlook.ppt [Compatibility Mode]

Microsoft PowerPoint - AWOL - Acrobat Windows Outlook.ppt [Compatibility Mode] AWOL Windows - Tips & Tricks Resolution, color depth & refresh rate Background color Service packs Disk cleanup (cleanmgr) Disk defragmentation AWOL Windows Resolution, Color Depth & Refresh Rate The main

More information

A VALIDATION STUDY OF THE ACHIEVEMENT TEST OF TEACHING CHINESE AS THE SECOND LANGUAGE by Chen Wei A Thesis Submitted to the Graduate School and Colleg

A VALIDATION STUDY OF THE ACHIEVEMENT TEST OF TEACHING CHINESE AS THE SECOND LANGUAGE by Chen Wei A Thesis Submitted to the Graduate School and Colleg 上 海 外 国 语 大 学 SHANGHAI INTERNATIONAL STUDIES UNIVERSITY 硕 士 学 位 论 文 MASTER DISSERTATION 学 院 国 际 文 化 交 流 学 院 专 业 汉 语 国 际 教 育 硕 士 题 目 届 别 2010 届 学 生 陈 炜 导 师 张 艳 莉 副 教 授 日 期 2010 年 4 月 A VALIDATION STUDY

More information

Lorem ipsum dolor sit amet, consectetuer adipiscing elit

Lorem ipsum dolor sit amet, consectetuer adipiscing elit English for Study in Australia 留 学 澳 洲 英 语 讲 座 Lesson 3: Make yourself at home 第 三 课 : 宾 至 如 归 L1 Male: 各 位 朋 友 好, 欢 迎 您 收 听 留 学 澳 洲 英 语 讲 座 节 目, 我 是 澳 大 利 亚 澳 洲 广 播 电 台 的 节 目 主 持 人 陈 昊 L1 Female: 各 位

More information

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63>

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63> 2010 年 理 工 类 AB 级 阅 读 判 断 例 题 精 选 (2) Computer mouse How does the mouse work? We have to start at the bottom, so think upside down for now. It all starts with mouse ball. As the mouse ball in the bottom

More information

2015 Chinese FL Written examination

2015 Chinese FL Written examination Victorian Certificate of Education 2015 SUPERVISOR TO ATTACH PROCESSING LABEL HERE Letter STUDENT NUMBER CHINESE FIRST LANGUAGE Written examination Monday 16 November 2015 Reading time: 11.45 am to 12.00

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

2010 Japanese First Language Written examination

2010 Japanese First Language Written examination Victorian Certificate of Education 2010 SUPERVISOR TO ATTACH PROCESSING LABEL HERE STUDENT NUMBER Letter Figures Words JAPANESE FIRST LANGUAGE Written examination Monday 15 November 2010 Reading time:

More information

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis Chap. 4 Techniques of Circuit Analysis Contents 4.1 Terminology 4.2 Introduction to the Node-Voltage Method 4.3 The Node-Voltage Method and Dependent Sources 4.4 The Node-Voltage Method: Some Special Cases

More information

可 愛 的 動 物 小 五 雷 雅 理 第 一 次 小 六 甲 黃 駿 朗 今 年 暑 假 發 生 了 一 件 令 人 非 常 難 忘 的 事 情, 我 第 一 次 參 加 宿 營, 離 開 父 母, 自 己 照 顧 自 己, 出 發 前, 我 的 心 情 十 分 緊 張 當 到 達 目 的 地 後

可 愛 的 動 物 小 五 雷 雅 理 第 一 次 小 六 甲 黃 駿 朗 今 年 暑 假 發 生 了 一 件 令 人 非 常 難 忘 的 事 情, 我 第 一 次 參 加 宿 營, 離 開 父 母, 自 己 照 顧 自 己, 出 發 前, 我 的 心 情 十 分 緊 張 當 到 達 目 的 地 後 郭家朗 許鈞嵐 劉振迪 樊偉賢 林洛鋒 第 36 期 出版日期 28-3-2014 出版日期 28-3-2014 可 愛 的 動 物 小 五 雷 雅 理 第 一 次 小 六 甲 黃 駿 朗 今 年 暑 假 發 生 了 一 件 令 人 非 常 難 忘 的 事 情, 我 第 一 次 參 加 宿 營, 離 開 父 母, 自 己 照 顧 自 己, 出 發 前, 我 的 心 情 十 分 緊 張 當 到 達 目

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp 天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Explore the impact of emotional factors couples do not

More information

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D>

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D> Homeworks ( 第 三 版 ):.4 (,, 3).5 (, 3).6. (, 3, 5). (, 4).4.6.7 (,3).9 (, 3, 5) Chapter. Number systems and codes 第 一 章. 数 制 与 编 码 . Overview 概 述 Information is of digital forms in a digital system, and

More information

中国科学技术大学学位论文模板示例文档

中国科学技术大学学位论文模板示例文档 University of Science and Technology of China A dissertation for doctor s degree An Example of USTC Thesis Template for Bachelor, Master and Doctor Author: Zeping Li Speciality: Mathematics and Applied

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

Microsoft Word - 24217010311110028谢雯雯.doc

Microsoft Word - 24217010311110028谢雯雯.doc HUAZHONG AGRICULTURAL UNIVERSITY 硕 士 学 位 论 文 MASTER S DEGREE DISSERTATION 80 后 女 硕 士 生 择 偶 现 状 以 武 汉 市 七 所 高 校 为 例 POST-80S FEMALE POSTGRADUATE MATE SELECTION STATUS STUDY TAKE WUHAN SEVEN UNIVERSITIES

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

39 屆 畢 業 典 禮

39 屆 畢 業 典 禮 東莞同鄉會方樹泉學校 地 址 九龍油麻地東莞街43號 電 話 2780 2296 圖文傳真 2770 7590 網 址 www.tkfsc-school.edu.hk 電 郵 tkfsc@eservices.hkedcity.net 39 屆畢業典禮 39 屆 畢 業 典 禮 方 樹 泉 先 生 玉 照 東 莞 同 鄉 會 方 樹 泉 學 校 39 屆 畢 業 典 禮 第 三 十 九 屆 畢 業 禮

More information

4. 每 组 学 生 将 写 有 习 语 和 含 义 的 两 组 卡 片 分 别 洗 牌, 将 顺 序 打 乱, 然 后 将 两 组 卡 片 反 面 朝 上 置 于 课 桌 上 5. 学 生 依 次 从 两 组 卡 片 中 各 抽 取 一 张, 展 示 给 小 组 成 员, 并 大 声 朗 读 卡

4. 每 组 学 生 将 写 有 习 语 和 含 义 的 两 组 卡 片 分 别 洗 牌, 将 顺 序 打 乱, 然 后 将 两 组 卡 片 反 面 朝 上 置 于 课 桌 上 5. 学 生 依 次 从 两 组 卡 片 中 各 抽 取 一 张, 展 示 给 小 组 成 员, 并 大 声 朗 读 卡 Tips of the Week 课 堂 上 的 英 语 习 语 教 学 ( 二 ) 2015-04-19 吴 倩 MarriottCHEI 大 家 好! 欢 迎 来 到 Tips of the Week! 这 周 我 想 和 老 师 们 分 享 另 外 两 个 课 堂 上 可 以 开 展 的 英 语 习 语 教 学 活 动 其 中 一 个 活 动 是 一 个 充 满 趣 味 的 游 戏, 另 外

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx ENSC 427 Communication Networks Spring 2016 Group #2 Project URL: http://www.sfu.ca/~rkieu/ensc427_project.html Amer, Zargham 301149920 Kieu, Ritchie 301149668 Xiao, Lei 301133381 1 Roadmap Introduction

More information

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E Gerolor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 12 12 1 1 0 0 2 2 31 31 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 2mm Keyed (A) 1.0'

More information

99 學年度班群總介紹 第 370 期 班群總導 陳怡靜 G45 班群總導 陳怡靜(河馬) A 家 惠如 家浩 T 格 宜蓁 小 霖 怡 家 M 璇 均 蓁 雴 家 數學領域 珈玲 國燈 370-2 英領域 Kent

99 學年度班群總介紹 第 370 期 班群總導 陳怡靜 G45 班群總導 陳怡靜(河馬) A 家 惠如 家浩 T 格 宜蓁 小 霖 怡 家 M 璇 均 蓁 雴 家 數學領域 珈玲 國燈 370-2 英領域 Kent 2010 年 8 月 27 日 出 刊 精 緻 教 育 宜 蘭 縣 公 辦 民 營 人 國 民 中 小 學 財 團 法 人 人 適 性 教 育 基 金 會 承 辦 地 址 : 宜 蘭 縣 26141 頭 城 鎮 雅 路 150 號 (03)977-3396 http://www.jwps.ilc.edu.tw 健 康 VS. 學 習 各 位 合 夥 人 其 實 都 知 道, 我 是 個 胖 子, 而

More information

前 言 一 場 交 換 學 生 的 夢, 夢 想 不 只 是 敢 夢, 而 是 也 要 敢 去 實 踐 為 期 一 年 的 交 換 學 生 生 涯, 說 長 不 長, 說 短 不 短 再 長 的 路, 一 步 步 也 能 走 完 ; 再 短 的 路, 不 踏 出 起 步 就 無 法 到 達 這 次

前 言 一 場 交 換 學 生 的 夢, 夢 想 不 只 是 敢 夢, 而 是 也 要 敢 去 實 踐 為 期 一 年 的 交 換 學 生 生 涯, 說 長 不 長, 說 短 不 短 再 長 的 路, 一 步 步 也 能 走 完 ; 再 短 的 路, 不 踏 出 起 步 就 無 法 到 達 這 次 壹 教 育 部 獎 助 國 內 大 學 校 院 選 送 優 秀 學 生 出 國 研 修 之 留 學 生 成 果 報 告 書 奧 地 利 約 翰 克 卜 勒 大 學 (JKU) 留 學 心 得 原 就 讀 學 校 / 科 系 / 年 級 : 長 榮 大 學 / 財 務 金 融 學 系 / 四 年 級 獲 獎 生 姓 名 : 賴 欣 怡 研 修 國 家 : 奧 地 利 研 修 學 校 : 約 翰 克 普

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Microsoft Word - A200811-773.doc

Microsoft Word - A200811-773.doc 语 言 模 型 在 高 校 保 研 工 作 中 的 应 用 王 洋 辽 宁 工 程 技 术 大 学 理 学 院 信 息 与 计 算 科 学, 辽 宁 阜 新 (3000) E-mail: ben.dan000 @63.com 摘 要 : 问 题 重 述 : 模 糊 性 数 学 发 展 的 主 流 是 在 它 的 应 用 方 面, 其 中 模 糊 语 言 模 型 实 现 了 人 类 语 言 的 数 学

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

%

% 38 1 2014 1 Vol. 38No. 1 January 2014 51 Population Research 2010 2010 2010 65 100028 Changing Lineal Families with Three Generations An Analysis of the 2010 Census Data Wang Yuesheng Abstract In contemporary

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化, 鼓 励 替 代

Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化, 鼓 励 替 代 Chinese oil import policies and reforms SINOPEC EDRI 2014.8 Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化,

More information

DR2010.doc

DR2010.doc DR/2010 HACH 11-8-96-2 HACH. DR/2010, / UL E79852 CSA C22.223 LR 58275 VDE GS 1015-92 FCC"A" 15 : AMADOR CORP, HACH. EN50 011/CISPR 11 "B" (EMI)/89/336/EEC/EMC: AMADOR CORP, HACH.. EN50 082-1( )/89/226/EEC

More information

Microsoft Word - 专论综述1.doc

Microsoft Word - 专论综述1.doc 1 基 于 协 同 过 滤 的 高 考 志 愿 推 荐 系 统 徐 兰 静, 李 珊, 严 钊 ( 南 京 航 空 航 天 大 学 经 济 与 管 理 学 院, 南 京 211100) 摘 要 : 近 年 来 信 息 过 载 问 题 的 出 现 使 得 个 性 化 推 荐 技 术 应 运 而 生, 其 中 协 同 过 滤 推 荐 技 术 通 过 在 用 户 和 信 息 之 间 建 立 联 系, 被

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

ch_code_infoaccess

ch_code_infoaccess 地 產 代 理 監 管 局 公 開 資 料 守 則 2014 年 5 月 目 錄 引 言 第 1 部 段 數 適 用 範 圍 1.1-1.2 監 管 局 部 門 1.1 紀 律 研 訊 1.2 提 供 資 料 1.3-1.6 按 慣 例 公 布 或 供 查 閱 的 資 料 1.3-1.4 應 要 求 提 供 的 資 料 1.5 法 定 義 務 及 限 制 1.6 程 序 1.7-1.19 公 開 資

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

97 10 49-69 * α=.05 1. 2. 3. 3.8 3.79 3.68 2.79 2.734. 5. 50 97 10 51 52 97 10 Cronbach s α.83.84.91.93.90.90 Cronbach s α.84.85.91.91.74.92 SPSS for Windows 12.0 53 Tukey α=.05 12.5% BC C 54 97 10 67

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

Microsoft Word - ChineseSATII .doc

Microsoft Word - ChineseSATII .doc 中 文 SAT II 冯 瑶 一 什 么 是 SAT II 中 文 (SAT Subject Test in Chinese with Listening)? SAT Subject Test 是 美 国 大 学 理 事 会 (College Board) 为 美 国 高 中 生 举 办 的 全 国 性 专 科 标 准 测 试 考 生 的 成 绩 是 美 国 大 学 录 取 新 生 的 重 要 依

More information

Microsoft Word - 論文封面-980103修.doc

Microsoft Word - 論文封面-980103修.doc 淡 江 大 學 中 國 文 學 學 系 碩 士 在 職 專 班 碩 士 論 文 指 導 教 授 : 呂 正 惠 蘇 敏 逸 博 士 博 士 倚 天 屠 龍 記 愛 情 敘 事 之 研 究 研 究 生 : 陳 麗 淑 撰 中 華 民 國 98 年 1 月 淡 江 大 學 研 究 生 中 文 論 文 提 要 論 文 名 稱 : 倚 天 屠 龍 記 愛 情 敘 事 之 研 究 頁 數 :128 校 系 (

More information

PowerPoint Presentation

PowerPoint Presentation TOEFL Practice Online User Guide Revised September 2009 In This Guide General Tips for Using TOEFL Practice Online Directions for New Users Directions for Returning Users 2 General Tips To use TOEFL Practice

More information

1505.indd

1505.indd 上 海 市 孙 中 山 宋 庆 龄 文 物 管 理 委 员 会 上 海 宋 庆 龄 研 究 会 主 办 2015.05 总 第 148 期 图 片 新 闻 2015 年 9 月 22 日, 由 上 海 孙 中 山 故 居 纪 念 馆 台 湾 辅 仁 大 学 和 台 湾 图 书 馆 联 合 举 办 的 世 纪 姻 缘 纪 念 孙 中 山 先 生 逝 世 九 十 周 年 及 其 革 命 历 程 特 展

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Microsoft Word - 103-4 記錄附件

Microsoft Word - 103-4 記錄附件 國 立 虎 尾 技 大 103 年 度 第 4 次 教 務 會 議 記 錄 附 件 中 華 民 國 104 年 6 月 16 日 受 文 者 : 國 立 虎 尾 技 大 發 文 日 期 : 中 華 民 國 104 年 5 月 28 日 發 文 字 號 : 臺 教 技 ( 二 ) 字 第 1040058590 號 速 別 : 最 速 件 密 等 及 解 密 條 件 或 保 密 期 限 : 附 件 :

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC 4.1 Converting Assembly Language Instructions to

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

Microsoft PowerPoint - ryz_030708_pwo.ppt

Microsoft PowerPoint - ryz_030708_pwo.ppt Long Term Recovery of Seven PWO Crystals Ren-yuan Zhu California Institute of Technology CMS ECAL Week, CERN Introduction 20 endcap and 5 barrel PWO crystals went through (1) thermal annealing at 200 o

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

國立桃園高中96學年度新生始業輔導新生手冊目錄

國立桃園高中96學年度新生始業輔導新生手冊目錄 彰 化 考 區 104 年 國 中 教 育 會 考 簡 章 簡 章 核 定 文 號 : 彰 化 縣 政 府 104 年 01 月 27 日 府 教 學 字 第 1040027611 號 函 中 華 民 國 104 年 2 月 9 日 彰 化 考 區 104 年 國 中 教 育 會 考 試 務 會 編 印 主 辦 學 校 : 國 立 鹿 港 高 級 中 學 地 址 :50546 彰 化 縣 鹿 港 鎮

More information

Microsoft Word - 第四組心得.doc

Microsoft Word - 第四組心得.doc 徐 婉 真 這 四 天 的 綠 島 人 權 體 驗 營 令 我 印 象 深 刻, 尤 其 第 三 天 晚 上 吳 豪 人 教 授 的 那 堂 課, 他 讓 我 聽 到 不 同 於 以 往 的 正 義 之 聲 轉 型 正 義, 透 過 他 幽 默 熱 情 的 語 調 激 起 了 我 對 政 治 的 興 趣, 願 意 在 未 來 多 關 心 社 會 多 了 解 政 治 第 一 天 抵 達 綠 島 不 久,

More information

The Development of Color Constancy and Calibration System

The Development of Color Constancy and Calibration System The Development of Color Constancy and Calibration System The Development of Color Constancy and Calibration System LabVIEW CCD BMP ii Abstract The modern technologies develop more and more faster, and

More information