计算机组织与系统结构

Size: px
Start display at page:

Download "计算机组织与系统结构"

Transcription

1 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2012 年 3 月 5 日

2 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) r 5 (r 3 ) op (r 4 ) Read-after-Write (RAW) hazard 反相关 (Anti-dependence) r 3 (r 1 ) op (r 2 ) r 1 (r 4 ) op (r 5 ) Write-after-Read (WAR) hazard 输出相关 (Output-dependence) r 3 (r 1 ) op (r 2 ) r 3 (r 6 ) op (r 7 ) Write-after-Write (WAW) hazard

3 数据冒险示例 dest src1 src2 I 1 DIVD f6, f6, f4 I 2 LD f2, 45(r3) I 3 MULTD f0, f2, f4 I 4 DIVD f8, f6, f2 I 5 SUBD f10, f0, f6 I 6 ADDD f6, f8, f2 先写后读冒险 (RAW Hazards) 先读后写冒险 (WAR Hazards) 写写冒险 (WAW Hazards)

4 复杂指令流水线 ALU Mem IF ID Issue WB Fadd GPR s FPR s Fmul Fdiv 为了追求更高性能, 流水线变得更加复杂, 这是因为 : 流水化浮点部件的长时延 多功能和存储部件 具有可变访问时间的存储系统 精确中断

5 复杂按序指令流水线 PC Inst. Mem D Decode GPRs X1 + X2 Data Mem X3 W 延迟回写 (Delay writeback) 以确保所有操作到 W 级都具有相同的时延 FPRs X1 X2 Fadd X3 W 写端口不可被复用 ( 每个周期只有一条指令进入 一条指令流出 ) 指令按序提交, 简化了精确中断的实现 X2 Fmul X3 Commit Point 如何避免由于不断增加的回写时延, 而不要导致单周期整数操作变慢? FDiv X2 Unpipelined divider X3 旁路 (Bypassing)

6 复杂指令流水线 ALU Mem IF ID Issue WB GPR s FPR s Fadd Fmul 如何解决写冒险, 而不需要均分所有流水级, 并不要旁路电路? Fdiv

7 何时可以安全地发射一条指令? 假设有一个统一的数据结构跟踪记录在所有功能部件中的所有指令状态 在发射级分发 (dispatch) 一条指令之前, 需要完成如下检查 : 所需功能部件是否可用? 输入数据是否可用? RAW? 写目的操作数是否安全? WAR? WAW? 是否在 WB 级会出现结构冒险?

8 硬件策略 : 指令并行 为什么需要硬件在运行时支持? 在编译时有些相关情况不能真正判定 简化编译处理 针对某一机器产生的代码可以在另一机器上有效运行 核心思路 : 允许暂停之后的指令被处理 DIVD ADDD SUBD F0,F2,F4 F10,F0,F8 F12,F8,F14 允许乱序 (out-of-order) 执行 => 乱序完成 在 1963 年的 CDC 6600 机器中,ID 段检测结构冒险和记分板 (Scoreboard) 数据 核心思路 : 寄存器换名 DIVD F0,F2,F4 ADDD F10,F0,F8 SUBD F0,F8,F14 MULD F6,F10,F0 消除 WAR 和 WAW 冒险 DIVD F0,F2,F4 ADDD F10,F0,F8 SUBD F100,F8,F14 MULD F6,F10,F100

9 超标量处理器的内部部件 Branch Unit Load/ Store Unit MMU Instruction Issue Unit Floating- Point Unit(s) Floating- Point Registers I-cache BHT BTAC Instruction Fetch Unit Instruction Decode and Register Rename Unit Integer Unit(s) General Purpose Registers MMU Instruction Buffer Reorder Buffer Retire Unit Rename Registers Bus Interface Unit 32 (64) Data Bus 32 (64) Address Bus Control Bus D-cache

10 指令窗口 超标量流水线 取指 译码和换名 发射 执行执行执行执行 退离和回写 按序将指令递交到乱序执行的内核!

11 支持按序发射指令的记分板技术 Scoreboard for In-order Issues Busy[FU#] : a bit-vector to indicate FU s availability. (FU = Int, Add, Mult, Div) These bits are hardwired to FU's. WP[reg#] : a bit-vector to record the registers for which writes are pending. These bits are set to true by the Issue stage and set to false by the WB stage Issue checks the instruction (opcode dest src1 src2) against the scoreboard (Busy & WP) to dispatch FU available? RAW? WAR? WAW? Busy[FU#] WP[src1] or WP[src2] cannot arise WP[dest]

12 硬件策略 : 指令并行 ( 续一 ) 乱序执行分解 ID 段 : 1. Issue decode instructions, check for structural hazards 2. Read operands wait until no data hazards, then read operands 只要指令同时满足上述两个条件, 记分板就允许该指令执行, 而无需等待前面的指令完成 CDC 6600: 按序发射 乱序执行 乱序提交 (commit) ( 也就是完成 [completion])

13 CDC 6600 logic gates

14 C D C 结构简图

15 Registers Functional Units 记分板体系结构 FP Mult FP Mult FP Divide FP Add Integer SCOREBOARD Memory

16 记分板的含义 乱序完成 => WAR, WAW 冒险? 对 WAR 的解决方案 排队等待操作以及它们操作数的拷贝 只在读操作段才读取寄存器 对 WAW 的解决方案, 必须检测冒险 : 暂停等待到其他指令完成 在执行阶段可能有多个指令 => 设置多个执行部件或者流水化执行部件 记分板跟踪相关 状态或操作 记分板用四个流水段代替 ID EX WB 三段

17 记分板控制的四级 1. Issue decode instructions & check for structural hazards (ID1) If a functional unit for the instruction is free and no other active instruction has the same destination register (WAW), the scoreboard issues the instruction to the functional unit and updates its internal data structure. If a structural or WAW hazard exists, then the instruction issue stalls, and no further instructions will issue until these hazards are cleared. 2. Read operands wait until no data hazards, then read operands (ID2) A source operand is available if no earlier issued active instruction is going to write it, or if the register containing the operand is being written by a currently active functional unit. When the source operands are available, the scoreboard tells the functional unit to proceed to read the operands from the registers and begin execution. The scoreboard resolves RAW hazards dynamically in this step, and instructions may be sent into execution out of order.

18 记分板控制的四级 ( 续一 ) 3. Execution operate on operands (EX) The functional unit begins execution upon receiving operands. When the result is ready, it notifies the scoreboard that it has completed execution. 4. Write result finish execution (WB) Once the scoreboard is aware that the functional unit has completed execution, the scoreboard checks for WAR hazards. If none, it writes results. If WAR, then it stalls the instruction. Example: DIVD ADDD SUBD F0,F2,F4 F10,F0,F8 F8,F8,F14 CDC 6600 scoreboard would stall SUBD until ADDD reads operands

19 记分板的三个主要组成部分 1. Instruction status which of 4 steps the instruction is in 2. Functional unit status Indicates the state of the functional unit (FU). 9 fields for each functional unit Busy Indicates whether the unit is busy or not Op Operation to perform in the unit (e.g., + or ) Fi Destination register Fj, Fk Source-register numbers Qj, Qk Functional units producing source registers Fj, Fk Rj, Rk Flags indicating when Fj, Fk are ready 3. Indicates which functional unit will write each register, if one exists. Blank when no pending instructions will write that register

20 记分板流水线控制的细节 Instruction status Issue Read operands Execution complete Write result Wait until Not busy (FU) and not result(d) Rj and Rk Functional unit done f((fj( f ) Fi(FU) or Rj( f )=No) & (Fk( f ) Fi(FU) or Rk( f )=No)) Bookkeeping Busy(FU) yes; Op(FU) op; Fi(FU) `D ; Fj(FU) `S1 ; Fk(FU) `S2 ; Qj Result( S1 ); Qk Result(`S2 ); Rj not Qj; Rk not Qk; Result( D ) FU; Rj No; Rk No f(if Qj(f)=FU then Rj(f) Yes); f(if Qk(f)=FU then Rj(f) Yes); Result(Fi(FU)) 0; Busy(FU) No

21 记分板示例 Instruction status Read ExecuWrite Instruction j k Issue operancompl Result LD MUL F0 F6 F2 34+ R2 F4 LD SUBDF8 F2 F6 45+ R3 F2 ADD: 2 cycles Mult: 10 cycles Divd: 40 cycles DIVDF10 F0 F6 ADD F6 F8 F2 Time Name Busy Op Fi Fj Fk Qj Qk Rj Rk Integer No Mult1 No Add No Divide No FU

22 记分板示例第一个周期 Instruction status Read ExecutWrite Instruction j k Issue operancompleresult LD F6 34+ R2 1 LD F2 45+ R3 MUL F0 F2 F4 SUBDF8 F6 F2 DIVDF10 F0 F6 ADD F6 F8 F2 Integer Yes Load F6 R2 Yes Mult1 No Add No Divide No 1 FU Integer

23 记分板示例第二个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R2 1 2 LD F2 45+ R3 Issue 2nd LD? MULTF0 F2 F4 SUBDF8 F6 F2 DIVDF10 F0 F6 ADDDF6 F8 F2 Integer Yes Load F6 R2 Yes Mult1 No Add No Divide No 2 FU Integer

24 记分板示例第三个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R3 MUL F0 F2 F4 SUBDF8 F6 F2 DIVDF10 F0 F6 ADD F6 F8 F2 Integer Yes Load F6 R2 No Mult1 No Add No Divide No 3 FU Integer Issue MULT?

25 记分板示例第四个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R3 MUL F0 F2 F4 SUBDF8 F6 F2 DIVDF10 F0 F6 ADD F6 F8 F2 Integer No Mult1 No Add No Divide No 4 FU

26 记分板示例第五个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R3 5 MUL F0 F2 F4 SUBDF8 F6 F2 DIVDF10 F0 F6 ADD F6 F8 F2 Integer Yes Load F2 R3 Yes Mult1 No Add No Divide No 5 FU Integer

27 记分板示例第六个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R3 5 6 MUL F0 F2 F4 6 SUBDF8 F6 F2 DIVDF10 F0 F6 ADD: 2 cycles Mult: 10 cycles Divd: 40 cycles ADD F6 F8 F2 Integer Yes Load F2 R3 Yes Mult1 Yes Mult F0 F2 F4 Integer No Yes Add No Divide No 6 FU Mult1Integer

28 记分板示例第七个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 SUBDF8 F6 F2 7 DIVDF10 F0 F6 ADD F6 F8 F2 Integer Yes Load F2 R3 No Mult1 Yes Mult F0 F2 F4 Integer No Yes Add Yes Sub F8 F6 F2 IntegerYes No Divide No 7 FU Mult1Integer Add Read multiply operands?

29 记分板示例第 8a 个周期 ( 前半个周期 ) Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 SUBDF8 F6 F2 7 DIVDF10 F0 F6 8 ADD F6 F8 F2 Integer Yes Load F2 R3 No Mult1 Yes Mult F0 F2 F4 Integer No Yes Add Yes Sub F8 F6 F2 IntegerYes No Divide Yes Div F10 F0 F6 Mult1 No Yes 8 FU Mult1Integer Add Divide

30 记分板示例第 8b 个周期 ( 后半个周期 ) Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 SUBDF8 F6 F2 7 DIVDF10 F0 F6 8 ADD F6 F8 F2 Integer No Mult1 Yes Mult F0 F2 F4 Yes Yes Add Yes Sub F8 F6 F2 Yes Yes Divide Yes Div F10 F0 F6 Mult1 No Yes 8 FU Mult1 Add Divide

31 记分板示例第九个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 ADD: 2 cycles Mult: 10 cycles SUBDF8 F6 F2 7 9 Divd: 40 cycles DIVDF10 F0 F6 8 ADD F6 F8 F2 Integer No 10 Mult1 Yes Mult F0 F2 F4 Yes Yes 2 Add Yes Sub F8 F6 F2 Yes Yes Divide Yes Div F10 F0 F6 Mult1 No Yes 9 FU Mult1 Add Divide Read operands for MULT & SUBD? Issue ADDD?

32 记分板示例第十个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult ADD: 2 cycles LD MUL F0 F6 F2 34+ R2 F LD SUBDF8 F2 F6 45+ R3 F Mult: 10 cycles Divd: 40 cycles DIVDF10 F0 F6 8 ADD F6 F8 F2 Integer No 9 Mult1 Yes Mult F0 F2 F4 No No 1 Add Yes Sub F8 F6 F2 No No Divide Yes Div F10 F0 F6 Mult1 No Yes 10 FU Mult1 Add Divide

33 记分板示例第十一个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult ADD: 2 cycles LD MUL F0 F6 F2 34+ R2 F LD SUBDF8 F2 F6 45+ R3 F Mult: 10 cycles Divd: 40 cycles DIVDF10 F0 F6 8 ADD F6 F8 F2 Integer No 8 Mult1 Yes Mult F0 F2 F4 No No 0 Add Yes Sub F8 F6 F2 No No Divide Yes Div F10 F0 F6 Mult1 No Yes 11 FU Mult1 Add Divide

34 记分板示例第十二个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F2 Integer No 7 Mult1 Yes Mult F0 F2 F4 No No Add No Divide Yes Div F10 F0 F6 Mult1 No Yes 12 FU Mult1 Divide Read operands for DIVD?

35 记分板示例第十三个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F2 13 Integer No 6 Mult1 Yes Mult F0 F2 F4 No No Add Yes Add F6 F8 F2 Yes Yes Divide Yes Div F10 F0 F6 Mult1 No Yes 13 FU Mult1 Add Divide

36 记分板示例第十四个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F Integer No 5 Mult1 Yes Mult F0 F2 F4 No No 2 Add Yes Add F6 F8 F2 Yes Yes Divide Yes Div F10 F0 F6 Mult1 No Yes 14 FU Mult1 Add Divide

37 记分板示例第十五个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 SUBDF8 F6 F DIVDF10 F0 F6 8 ADDDF6 F8 F Integer No 4 Mult1 Yes Mult F0 F2 F4 No No 1 Add Yes Add F6 F8 F2 No No Divide Yes Div F10 F0 F6 Mult1 No Yes 15 FU Mult1 Add Divide

38 记分板示例第十六个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F Integer No 3 Mult1 Yes Mult F0 F2 F4 No No 0 Add Yes Add F6 F8 F2 No No Divide Yes Div F10 F0 F6 Mult1 No Yes 16 FU Mult1 Add Divide

39 记分板示例第十七个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F WAR Hazard! Integer No 2 Mult1 Yes Mult F0 F2 F4 No No Add Yes Add F6 F8 F2 No No Divide Yes Div F10 F0 F6 Mult1 No Yes 17 FU Mult1 Add Divide Write result of ADDD?

40 记分板示例第十八个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F4 6 9 SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F Integer No 1 Mult1 Yes Mult F0 F2 F4 No No Add Yes Add F6 F8 F2 No No Divide Yes Div F10 F0 F6 Mult1 No Yes 18 FU Mult1 Add Divide

41 记分板示例第十九个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F Integer No 0 Mult1 Yes Mult F0 F2 F4 No No Add Yes Add F6 F8 F2 No No Divide Yes Div F10 F0 F6 Mult1 No Yes 19 FU Mult1 Add Divide

42 记分板示例第二十个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F SUBDF8 F6 F DIVDF10 F0 F6 8 ADD F6 F8 F Integer No Mult1 No Add Yes Add F6 F8 F2 No No Divide Yes Div F10 F0 F6 Yes Yes 20 FU Add Divide

43 记分板示例第二十一个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F SUBDF8 F6 F DIVDF10 F0 F ADD F6 F8 F Integer No Mult1 No Add Yes Add F6 F8 F2 No No Divide Yes Div F10 F0 F6 Yes Yes 21 FU Add Divide

44 记分板示例第二十二个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F SUBDF8 F6 F DIVDF10 F0 F ADD: 2 cycles Mult: 10 cycles Divd: 40 cycles ADD F6 F8 F Integer No Mult1 No Add No 40 Divide Yes Div F10 F0 F6 No No 22 FU Divide

45 记分板示例第六十一个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F SUBDF8 F6 F DIVDF10 F0 F ADD F6 F8 F Integer No Mult1 No Add No 0 Divide Yes Div F10 F0 F6 No No 61 FU Divide

46 记分板示例第六十二个周期 Instruction status Read Execu Write Instruction j k IssueoperancompleResult LD F6 34+ R LD F2 45+ R MUL F0 F2 F SUBDF8 F6 F DIVDF10 F0 F ADD F6 F8 F Integer No Mult1 No Mult2 No Add No 0 Divide No 62 FU

47 CDC 6600 的记分板 来自编译的加速比 1.7; 手编代码的加速比 2.5, 但是由于存储速度慢 ( 没有 Cache) 限制了加速比的提高 6600 记分板的局限性 : 没有前递硬件 指令调度局限于基本块内 ( 指令窗口小 ) 功能部件少 ( 结构冒险 ), 特别是 integer/load store 部件 存在结构冒险, 就暂停发射指令 等待到 WAR 冒险解决 防止 WAW 冒险

48 本讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 在编译时有些相关情况不能真正判定 针对某一机器产生的代码可以在另一机器上有效运行 记分板的核心思想 : 允许暂停之后的指令提前处理 ( 译码 => 发射指令 & 读取操作数 ) 允许乱序执行 => 乱序完成 ID 段检测所有的结构冒险

chx10_arch02_ilp.ppt [兼容模式]

chx10_arch02_ilp.ppt [兼容模式] 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2010 年 3 月 29 日 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) Read-after-Write r 5 (r 3 ) op (r 4 ) (RAW) hazard 反相关 (Anti-dependence)

More information

Microsoft PowerPoint - chx08_arch02_ilp.ppt

Microsoft PowerPoint - chx08_arch02_ilp.ppt 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2008 年 10 月 13 日 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) Read-after-Write r 5 (r 3 ) op (r 4 ) (RAW) hazard 反相关 (Anti-dependence)

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第三讲 ) 程旭 2014 年 3 月 31 日 三种数据相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2016 年 3 月 14 日 三种指令相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2015 年 3 月 30 日 三种指令相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2017 年 3 月 13 日 三种指令相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 Tomasulo 算法 ( 第四讲 ) 程旭 2004 年 3 月 8 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 动态调度 (dynamic scheduling) 在编译时有些相关情况不能真正判定,

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 Tomasulo 算法 ( 第三讲 ) 程旭 2013 年 3 月 25 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 动态调度 (dynamic scheduling) 在编译时有些相关情况不能真正判定,

More information

Microsoft PowerPoint - CHX05_arch04_tomasulo.ppt

Microsoft PowerPoint - CHX05_arch04_tomasulo.ppt 高等计算机系统结构 Tomasulo 算法 ( 第四讲 ) 程旭 2005 年 3 月 21 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 在编译时有些相关情况不能真正判定 针对某一机器产生的代码可以在另一机器上有效运行 记分板的核心思想

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 Tomasulo 算法 ( 第四讲 ) 程旭 2014 年 3 月 31 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 动态调度 (dynamic scheduling) 在编译时有些相关情况不能真正判定,

More information

chx10_arch03_OoOIssue.ppt [兼容模式]

chx10_arch03_OoOIssue.ppt [兼容模式] 高等计算机系统结构 Tomasulo 算法 ( 第三讲 ) 程旭 2010 年 4 月 12 日 上一讲小结 < 软件或硬件的指令级并行 (ILP) < 循环级并行最容易判定 < 软件并行性取决于程序, 如果硬件不能支持就出现冒险 < 软件相关性 / 编译器复杂性决定编译中是否能展开循环 = 存储器相关是最难判定的 < 硬件开采 ILP 动态调度 (dynamic scheduling) = 在编译时有些相关情况不能真正判定,

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

Pipelining Advanced

Pipelining Advanced 计算机组织与系统结构 利用流水线改进性能 Enhancing Performance with Pipelining ( 第八讲 ) 程旭 2014.12.1 上一讲总结 流水线向下传递控制信息, 就象向下传递数据一样 通过局部控制解决前递 / 暂停 意外事件会导致流水线停止 MIPS 指令系统体系结构中流水线是可见的 ( 延迟转移 延迟装入 ) 更深的流水线 更多的并行度可能获得出更高的性能 中断

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

Microsoft PowerPoint - CA_04 Chapter6 v ppt

Microsoft PowerPoint - CA_04 Chapter6 v ppt Chap. 6 Enhancing Performance with Pipelining 臺大電機系吳安宇教授 V1. 2007/04/20 臺大電機吳安宇教授 - 計算機結構 1 Outline 6.1 An Overview of Pipelining 6.2 A Pipelined Datapath 6.3 Pipelined Control 6.4 Data Hazards and Forwarding

More information

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2)

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2) Computer Architecture Fall, 2017 Week 13 2017.12.04 [Group 11] 1. 請詳述為何在 MIPS 中不會發生 WAR 與 WAW 這兩種 Hazards ANS: Use simple, fixed designs WAR: 因為 Write 是第五個 Stage,Read 是第二個 Stage, 因此 Write 永遠在 Read 後面,

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt 8088/8086 MICROPROCSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS The MOVE The move (MOV) instruction is used to transfer a byte or a word of data from a source operand to a destination operand

More information

Microsoft PowerPoint - chx09_org16_pipelining_3.ppt

Microsoft PowerPoint - chx09_org16_pipelining_3.ppt 计算机组织与系统结构 利用流水线改进性能 Enhancing Performance with Pipelining ( 第十六讲 ) 程旭 2009.6.4 上一讲总结 流水线向下传递控制信息, 就象向下传递数据一样 通过局部控制解决前递 / 暂停 意外事件会导致流水线停止 MIPS 指令系统体系结构中流水线是可见的 ( 延迟转移 延迟装入 ) 更深的流水线 更多的并行度可能获得出更高的性能 中断

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt 8088/8086 MICROPROCESSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS 8088/8086 MICROPROCESSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS 5.1 Data-Transfer Instructions 5.2 Arithmetic Instructions

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 现代指令级并行技术 ( 第四讲 ) 程旭 2012 年 3 月 26 日 流水线的性能 通过更加复杂的流水线和动态调度开发隐形 (imlicit) 指令级并行性 乱序执行执行, 同时保证 : 真数据相关 (RAW) 精确中断 通过寄存器换名, 消除 WAR 和 WAW 冒险 重排序缓冲器 (Reorder buffer) 保存尚未提交 (committing) 但已完成的结果,

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

Microsoft Word - (web)_F.1_Notes_&_Application_Form(Chi)(non-SPCCPS)_16-17.doc

Microsoft Word - (web)_F.1_Notes_&_Application_Form(Chi)(non-SPCCPS)_16-17.doc 聖 保 羅 男 女 中 學 學 年 中 一 入 學 申 請 申 請 須 知 申 請 程 序 : 請 將 下 列 文 件 交 回 本 校 ( 麥 當 勞 道 33 號 ( 請 以 A4 紙 張 雙 面 影 印, 並 用 魚 尾 夾 夾 起 : 填 妥 申 請 表 並 貼 上 近 照 小 學 五 年 級 上 下 學 期 成 績 表 影 印 本 課 外 活 動 表 現 及 服 務 的 證 明 文 件 及

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402

RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402 年 路 年 1 [1-3][4] [5-7] [15] Visual Basic [10] 401 RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402 1 1 X0 X1 X2 X3 SENSOR Y0 SENSOR VB X3 Y0 Y1 Y2 Y3 Y4 Y5 Y1~Y5 Y6 VB Y7 VB Y11 Y12 Y13 Y14 Y15 Y11~Y15

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

3.2 Ö¸Á²¢ÐиÅÄîÓë¼¼Êõ

3.2 Ö¸Á²¢ÐиÅÄîÓë¼¼Êõ 第三章 高级流水线与指令级并行性 第二部分 ----3.2 节 -3.5 节 石教英 3.2 指令级并行概念与技术 3.2.1 提高流水线性能的思路 (1) 直观思路 : 缩小流水线的 CPI CPIunpipelined 因为 Speedup= ----------------------------- CPIpipelined CPIpipelined = Ideal pipeline CPI+

More information

Training

Training 计算机组织与系统结构 流水技术引论 Introduction to Pipelining ( 第六讲 ) 程旭 2014.11.26 MUX 0 1 MUX 0 1 Mux 0 1 32 ctr Clk busw Wr 32 32 busa 32 busb 5 5 5 Rw Ra Rb 32 32-bit isters Rs Rt Rt Rd Dst Extender 32 16 imm16 Src

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Chapter 3

Chapter 3 Chapter 3 Arithmetic for Computers 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. C.T. King, NTHU, Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB 3.2 Addition & Subtraction p.67 ( 頁 69)

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

单周期数据通路

单周期数据通路 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC 4.1 Converting Assembly Language Instructions to

More information

ch_code_infoaccess

ch_code_infoaccess 地 產 代 理 監 管 局 公 開 資 料 守 則 2014 年 5 月 目 錄 引 言 第 1 部 段 數 適 用 範 圍 1.1-1.2 監 管 局 部 門 1.1 紀 律 研 訊 1.2 提 供 資 料 1.3-1.6 按 慣 例 公 布 或 供 查 閱 的 資 料 1.3-1.4 應 要 求 提 供 的 資 料 1.5 法 定 義 務 及 限 制 1.6 程 序 1.7-1.19 公 開 資

More information

Microsoft Word - CX VMCO 3 easy step v1.doc

Microsoft Word - CX VMCO 3 easy step v1.doc Abacus Fully Automated Process of VMCO on CX, KA, CPH & KAH 16 Nov 2009 To streamline the VMCO handling on CX, KA, CPH & KAH, Abacus is pleased to inform you that manual submission of VMCO to CX/KA/CPH/KAH

More information

Microsoft PowerPoint - chx09_org14_pipelining_1.ppt

Microsoft PowerPoint - chx09_org14_pipelining_1.ppt 计算机组织与系统结构 流水技术引论 Itroductio to Pipeliig ( 第十四讲 ) 程旭 29.5 rach Jump st 单周期处理器 busw Wr 5 5 Istructio Fetch Uit Rd imm6 Istr Rs 5 Rw Ra Rb -bit isters 6 Istructio op bus Exteder

More information

¶C¶L§§¬_™¨ A.PDF

¶C¶L§§¬_™¨ A.PDF 1 9 3 1 9 4 / 7.1 / 1 9 5 7.2 % netstat -rn Routing tables Destination Gateway Flags Refcnt Use Interface 127.0.0.1 127.0.0.1 UH 1 132 lo0 172.16.12.0 172.16.12.2 U 26 49041 le0 1 9 6 / % ping -s almond

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft Word - SupplyIT manual 3_cn_david.doc

Microsoft Word - SupplyIT manual 3_cn_david.doc MR PRICE Supply IT Lynette Rajiah 1 3 2 4 3 5 4 7 4.1 8 4.2 8 4.3 8 5 9 6 10 6.1 16 6.2 17 6.3 18 7 21 7.1 24 7.2 25 7.3 26 7.4 27 7.5 28 7.6 29 7.7 30 7.8 31 7.9 32 7.10 32 7.11 33 7.12 34 1 7.13 35 7.14

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_04.ppt MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE DEVELOPMENT PROGRAM OF THE PC General instruction format for machine code 611 37100 微處理機原理與應用 Lecture 04-4 MACHINE LANGUAGE CODING AND THE DEBUG SOFTWARE

More information

¸ß¼¶¼ÆËã»úÌåϵ½á¹¹

¸ß¼¶¼ÆËã»úÌåϵ½á¹¹ 第三章 高级流水线与指令级并行 石教英 内容提要及与各节的关系 (1) 流水线技术就是指令重叠执行技术, 达到加快运算速度的目的 由于存在三种流水线竞争 : 结构竞争 数据竞争 控制竞争, 导致流水线性能降低, 不能运作在理想的重叠状态, 需要插入停顿周期, 从而使流水线性能降低 --3.1 流水线技术基础 内容提要及与各节的关系 (2) 指令之间可重叠执行性称为指令级并行性 (Instruction

More information

WWW PHP Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2

WWW PHP Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2 WWW PHP 2003 1 Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2 Comments PHP Shell Style: # C++ Style: // C Style: /* */ $value = $p * exp($r * $t); # $value

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D>

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D> Homeworks ( 第 三 版 ):.4 (,, 3).5 (, 3).6. (, 3, 5). (, 4).4.6.7 (,3).9 (, 3, 5) Chapter. Number systems and codes 第 一 章. 数 制 与 编 码 . Overview 概 述 Information is of digital forms in a digital system, and

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

2006中國文學研究範本檔

2006中國文學研究範本檔 中 國 文 學 研 究 第 三 十 九 期 2015 年 01 月 頁 223~258 臺 灣 大 學 中 國 文 學 研 究 所 由 心 到 腦 從 腦 的 語 義 脈 絡 論 晚 清 民 初 的 文 化 轉 型 * 徐 瑞 鴻 提 要 傳 統 的 中 醫 理 論 以 心 為 神 明 之 主, 掌 管 思 維 記 憶 與 情 感, 此 一 觀 點 在 近 現 代 受 到 西 方 解 剖 學 的 巨

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt 微算機系統第三章 Arithmetic for Computers 陳伯寧教授電信工程學系國立交通大學 chap3-1 Arithmetic Where we've been: Abstractions: Instruction Set Architecture Assembly Language and Machine Language What's up ahead: Implementing

More information

Microsoft Word - HC20138_2010.doc

Microsoft Word - HC20138_2010.doc Page: 1 of 7 Date: April 26, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

3.2 指令级并行概念与技术

3.2 指令级并行概念与技术 硬件投机及多发射 浙大计算机陈文智 chenwz@zju.edu.cn 2014 年 11 月 2014/11/9 1 3.7 Reducing Branch Costs with Dynamic Hardware Prediction(2.3) 1-bit Branch-Prediction Buffer 2-bit Branch-Prediction Buffer Correlating Branch

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

國立中山大學論文典藏.PDF

國立中山大學論文典藏.PDF --- i ii Abstract Hospital global budget payment of national health insurance in Taiwan starts from July 1, 2002. The payment of emergency department has fixed point-value from July 1, 2002 to December

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Microsoft PowerPoint - TTCN-Introduction-v5.ppt

Microsoft PowerPoint - TTCN-Introduction-v5.ppt Conformance Testing and TTCN 工研院無線通訊技術部林牧台 / Morton Lin 03-5912360 mtlin@itri.org.tw 1 Outline Introduction and Terminology Conformance Testing Process 3GPP conformance testing and test cases A real world

More information

Microsoft PowerPoint 輸入輸出裝置(I_O Devices).pptx

Microsoft PowerPoint 輸入輸出裝置(I_O Devices).pptx 作業系統 Operating Systems 靜宜大學資訊傳播工程學系 劉國有助理教授 kyliu@pu.edu.tw 輸入 / 輸出裝置 (I/O Devices) 裝置控制器 (Device Controllers) I/O Devices I/O devices can be roughly divided into two categories: Block devices: stores

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

未命名

未命名 1 Synchronized synchronized Java ( ) Synchronized Java unlock lock load assign unlock (happen-before) lock Synchronized null " " HotSpot JVM Object Monitor synchronized 1 2 Class synchronized monitor Class

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5]

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5] DYNAMIC SCHEDULING IN TWO-MACHINE FLOW-SHOP WITH RECIRCULATION em-plant( SiMPLE++) Jen-Shiang Chen, Jar-Her Kao, Chun-Chieh Chen, Po-Cheng Liu, and Wen-Pin Lin Department of Industrial Engineering and

More information

关于规范区委、区委办公室发文

关于规范区委、区委办公室发文 浦 东 情 况 通 报 第 13 期 中 共 浦 东 新 区 区 委 办 公 室 2015 年 6 月 8 日 沈 晓 明 同 志 在 全 区 党 员 领 导 干 部 三 严 三 实 专 题 教 育 党 课 上 的 讲 话 (2015 年 5 月 15 日 ) 前 不 久, 中 央 下 发 了 在 县 处 级 以 上 领 导 干 部 中 开 展 三 严 三 实 专 题 教 育 的 方 案, 刘 云

More information

Microsoft Word - ??山

Microsoft Word - ??山 没 药 山 要 宣 告 耶 和 华 的 名, 你 们 要 将 大 德 归 于 我 们 的 神! 你 当 追 想 上 古 之 日, 思 念 历 代 之 年 问 你 的 父 亲, 他 必 指 示 你 ; 问 你 的 长 者, 他 必 告 诉 你 ( 申 32 3 7) 凡 是 真 实 的, 可 敬 的, 公 义 的, 清 洁 的, 可 爱 的, 有 美 名 的 ; 若 有 什 么 德 行, 若 有 什

More information

Microsoft Word - 助理人員教育訓練-會計室.docx

Microsoft Word - 助理人員教育訓練-會計室.docx 壹 報 帳 流 程 區 分 為 以 下 三 種 流 程 : 請 購 單 流 程 請 款 單 流 程 借 款 核 銷 流 程 一 請 購 單 流 程 1 二 請 款 單 流 程 1 3 NO YES 10 20 2 3 三 借 款 核 銷 流 程 貳 憑 證 的 種 類 及 內 容 一 統 一 發 票 1. 三 聯 式 統 一 發 票 (1) 買 受 人 : 務 必 請 廠 商 填 上 輔 仁 大 學

More information

601988 2010 040 113001 2010 8 26 2010 8 12 2010 8 26 15 15 2010 15 0 0 15 0 0 6035 20022007 20012002 19992001 200720081974 1999 2010 20082008 2000 197

601988 2010 040 113001 2010 8 26 2010 8 12 2010 8 26 15 15 2010 15 0 0 15 0 0 6035 20022007 20012002 19992001 200720081974 1999 2010 20082008 2000 197 BANK OF CHINA LIMITED 3988 2010 8 26 ** ** *** # Alberto TOGNI # # # * # 1 601988 2010 040 113001 2010 8 26 2010 8 12 2010 8 26 15 15 2010 15 0 0 15 0 0 6035 20022007 20012002 19992001 200720081974 1999

More information

SuperMap 系列产品介绍

SuperMap 系列产品介绍 wuzhihong@scu.edu.cn 3 / 1 / 16 / John M. Yarbrough: Digital Logic Applications and Design + + 30% 70% 1 CHAPTER 1 Digital Concepts and Number Systems 1.1 Digital and Analog: Basic Concepts P1 1.1 1.1

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

北部推動中心00-08_temp_.PDF

北部推動中心00-08_temp_.PDF 94_01_08 cell plan provides the problems and suggestions they encountered in 93th year to repay or revise. And in order to enforce mitigation and preparedness before disasters, improve rescue speed during

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

bbc_bond_is_back_worksheet.doc

bbc_bond_is_back_worksheet.doc Bond Is Back 邦 德 回 来 了 1 Bond Is Back 邦 德 回 来 了 Devil May Care New Bond Book 肆 无 忌 惮, 不 顾 一 切 邦 德 新 书 Read the text below and do the activity that follows. 阅 读 下 面 的 短 文, 然 后 完 成 练 习 : Fans of James Bond

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

2005 5,,,,,,,,,,,,,,,,, , , 2174, 7014 %, % 4, 1961, ,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2

2005 5,,,,,,,,,,,,,,,,, , , 2174, 7014 %, % 4, 1961, ,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2 3,,,,,, 1872,,,, 3 2004 ( 04BZS030),, 1 2005 5,,,,,,,,,,,,,,,,, 1928 716,1935 6 2682 1928 2 1935 6 1966, 2174, 7014 %, 94137 % 4, 1961, 59 1929,30, 30,, 4,1976,627,,,,, 3 (1993,12 ),, 2 , :,,,, :,,,,,,

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

Go构建日请求千亿微服务最佳实践的副本

Go构建日请求千亿微服务最佳实践的副本 Go 构建 请求千亿级微服务实践 项超 100+ 700 万 3000 亿 Goroutine & Channel Goroutine Channel Goroutine func gen() chan int { out := make(chan int) go func(){ for i:=0; i

More information

L23

L23 Lecture 26: VLIW & Superscalar 高级流水线技术 高性能流水线 - 指令级并行 (ILP) 技术 超流水线 多发射流水线 - 静态多发射 (VLIW 处理器 + 编译器静态调度 ) - 动态多发射 ( 超标量处理器 + 动态流水线调度 ) 静态多发射 (VLIW- 超长指令字 ) 编译器静态推测完成 指令打包 和 冒险处理 MIPS 2- 发射流水线数据通路 循环展开指令调度

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

Microsoft Word - 目錄-ok.docx

Microsoft Word - 目錄-ok.docx 高 餐 通 識 教 育 學 刊 第 七 期 頁 69 中 華 民 國 一 百 年 十 二 月 NKUHT Journal of General Education Vol.7, pp.69, Dec 2010 水 淫 茶 癖 : 晚 明 張 岱 的 感 官 世 界 潘 江 東 國 立 高 雄 餐 旅 大 學 台 灣 飲 食 文 化 產 業 研 究 所 副 教 授 兼 副 校 長 羅 保 羅 明 新

More information

提纲 1 2 OS Examples for 3

提纲 1 2 OS Examples for 3 第 4 章 Threads2( 线程 2) 中国科学技术大学计算机学院 October 28, 2009 提纲 1 2 OS Examples for 3 Outline 1 2 OS Examples for 3 Windows XP Threads I An Windows XP application runs as a seperate process, and each process may

More information

消防人員對九二一震災消防搶救時序之認知研究*

消防人員對九二一震災消防搶救時序之認知研究* 2963 Public Administration & Policy, No.37 December 2003 pp.2963 91 NSC91-2414-H-029-007 2003 8 15 2003 10 9 2003 11 24 2003 11 26 2003 11 27 30 1 2001 2000 1999 2001 2001 1999 2002 1 31 2000 1999 2000

More information

主標題-37pt 主標若有二行以上, 可使用藍、綠 分二部份

主標題-37pt 主標若有二行以上, 可使用藍、綠 分二部份 企 業 導 入 IFRS 之 轉 換 計 畫 參 考 範 例 及 實 務 釋 例 勤 業 眾 信 會 計 師 事 務 所 江 美 艷 會 計 師 2009 年 11 月 17 日 Audit.Tax.Consulting.Financial Advisory. 審 計. 稅 務. 企 業 管 理 諮 詢. 財 務 諮 詢 Agenda 法 令 要 求 暨 我 國 與 IFRS 接 軌 現 況 如 何

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

hks298cover&back

hks298cover&back 2957 6364 2377 3300 2302 1087 www.scout.org.hk scoutcraft@scout.org.hk 2675 0011 5,500 Service and Scouting Recently, I had an opportunity to learn more about current state of service in Hong Kong

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information