Microsoft PowerPoint ren-build-CPU [兼容模式]

Size: px
Start display at page:

Download "Microsoft PowerPoint ren-build-CPU [兼容模式]"

Transcription

1 嵌入式系统设计与应用 如何设计一个处理器? 从 0 到 1 西安交通大学电信学院 任鹏举

2 如何设计一个处理器? 从 0 到 1 如何设计一个处理器? 如何扩展一个处理器的指令集? 是否可以从处理器的指令集反向设计出相应的处理器?From ISA to Micro-Architecture?

3 如何设计一个处理器? 从 0 到 1 Application Software programs 单时钟处理器 多时钟处理器 流水线结构及其它 Operating Systems Architecture Microarchitecture Logic Digital Circuits Analog Circuits Devices device drivers instructions registers datapaths controllers adders memories AND gates NOT gates amplifiers filters transistors diodes Physics electrons

4 如何设计一个处理器? 从 0 到 1 程序的执行时间 = (#instructions)*(cycles/instruction) (seconds/cycle) 处理器设计的挑战是满足如下约束 : 耗费 ( 资源 ) 能耗 性能 ( 吞吐率 \ 延迟.etc) 以典型的逻辑运算 \ 数学运算 :Add,And 存储器操作 : LOAD, STORE 条件转移指令 :Branch

5 如何设计一个单时钟处理器? 32bits 哈佛结构处理器的基本构成单元

6 如何设计一个单时钟处理器? STEP1: 指令存储器中取指令操作

7 如何设计一个单时钟处理器? STEP2: 从寄存器文件中取源操作数

8 如何设计一个单时钟处理器? STEP3. 拓展带符号的立即数

9 如何设计一个单时钟处理器? LAD r1 {r0 #5} STEP4. 计算存储器地址

10 如何设计一个单时钟处理器? LAD r1 {r0 #5} STEP5. 从存储器中读取数据并写回寄存器文件

11 如何设计一个单时钟处理器? LAD r1 {r0 #5} STEP5. 从存储器中读取数据并写回寄存器文件

12 如何设计一个单时钟处理器? STEP6. 确定下一条指令的地址

13 如何设计一个单时钟处理器? STR r1 ADDR

14 如何设计一个单时钟处理器? 将计算结果回写到寄存器

15 如何设计一个单时钟处理器? Branch 跳转指令

16 如何设计一个单时钟处理器?

17 如何设计一个单时钟处理器?

18 回顾 ALU A N ALU Y N B N 3 F F 2:0 Function 000 A & B 001 A B 010 A + B 011 not used 100 A & ~B 101 A ~B 110 A - B 111 SLT C out Zero Extend N 3 A N 1 + [N-1] S N 2 N B N 0 N N 1 N 0 2 F 2 F 1:0 Y N

19 控制单元设计 Instruction Op 5:0 RegWrite RegDst AluSrc Branch MemWrite MemtoReg ALUOp 1:0 load store X X 00 beq X X 01

20 如何设计一个单时钟处理器? SignImm CLK A RD Instruction Memory + 4 A1 A3 WD3 RD2 RD1 WE3 A2 CLK Sign Extend Register File A RD Data Memory WD WE 0 1 PC 0 1 PC' Instr 25:21 20:16 15:0 5:0 SrcB 20:16 15:11 <<2 + ALUResult ReadData WriteData SrcA PCPlus4 PCBranch WriteReg 4:0 Result 31:26 RegDst Branch MemWrite MemtoReg ALUSrc RegWrite Op Funct Control Unit Zero PCSrc CLK ALUControl 2:0 ALU

21 如何设计一个单时钟处理器?

22 控制单元设计 - 增加指令 Jump Instruction Op 5:0 RegWrite RegDst AluSrc Branch MemWrite MemtoReg ALUOp 1:0 Jump load store X X 00 0 beq X X 01 0 j X X X 0 X XX 1

23 如何设计一个单时钟处理器?

24 如何设计一个单时钟处理器? 程序的执行时间 = (#instructions)(cycles/instruction)(seconds/cycle) Program Execution Time = (#instructions)(cycles/instruction)(seconds/cycle) = # instructions x CPI x T C T C limited by critical path T C 取决于处理器中执行时间最长的指令路径的延迟 ( 单指令系统 )

25 如何设计一个单时钟处理器?

26 如何设计一个单时钟处理器? 处理器工作的最高频率?

27 如何设计一个单时钟处理器? Program with 100 billion instructions: Execution Time = # instructions x CPI x T C = ( )(1)( s) = 92.5 seconds

28 单时钟处理器 v.s 多时钟处理器 Single-cycle: + simple - cycle time limited by longest instruction - 2 adders/alus & 2 memories Multicycle: + higher clock speed + simpler instructions run faster + reuse expensive hardware on multiple cycles - sequencing overhead paid many times Same design steps: datapath & control

29 如何设计一个多时钟处理器? 哈佛结构处理器的基本构成单元 ( 单指令 ) 冯诺伊曼结构处理器的基本构成单元 ( 多指令 )

30 如何设计一个多时钟处理器? Step1 :Fetch instruction from memory

31 如何设计一个多时钟处理器? Step2: Read source operand from register file

32 如何设计一个多时钟处理器? Step2b: 扩展符号的立即数

33 如何设计一个多时钟处理器? Step3: 计算存储器地址

34 如何设计一个多时钟处理器? Step4: 从存储器中读取数据

35 如何设计一个多时钟处理器? Step5: 将数据写回到寄存器文件

36 如何设计一个多时钟处理器? Step 6: 增加 PC

37 单时钟处理器的 PC

38 如何设计一个多时钟处理器?

39 如何设计一个多时钟处理器?

40 如何设计一个多时钟处理器?

41 如何设计一个多时钟处理器?

42 如何设计一个多时钟处理器? Control Unit Opcode 5:0 Main Controller (FSM) MemtoReg RegDst IorD PCSrc ALUSrcB 1:0 ALUSrcA IRWrite MemWrite PCWrite Branch RegWrite Multiplexer Selects Register Enables ALUOp 1:0 Funct 5:0 ALU Decoder ALUControl 2:0

43 S0: Fetch Reset

44

45

46 如何设计一个多时钟处理器? Memory read

47 如何设计一个多时钟处理器? Memory Write

48 如何设计一个多时钟处理器? 执行另外一种操作

49 如何设计一个多时钟处理器? 增加 Branch 操作

50 如何设计一个多时钟处理器?

51 如何设计一个多时钟处理器? S2: MemAdr S0: Fetch IorD = 0 Reset AluSrcA = 0 ALUSrcB = 01 ALUOp = 00 PCSrc = 00 IRWrite PCWrite ALUSrcA = 1 ALUSrcB = 10 ALUOp = 00 Op = LW or Op = SW S1: Decode ALUSrcA = 0 ALUSrcB = 11 ALUOp = 00 Op = R-type S6: Execute ALUSrcA = 1 ALUSrcB = 00 ALUOp = 10 Op = BEQ Op = J Op = ADDI S8: Branch ALUSrcA = 1 ALUSrcB = 00 ALUOp = 01 PCSrc = 01 Branch S11: Jump ALUSrcA = 1 ALUSrcB = 10 ALUOp = 00 Op = LW S3: MemRead Op = SW S5: MemWrite S7: ALU Writeback S9: ADDI Execute S10: ADDI Writeback IorD = 1 IorD = 1 MemWrite RegDst = 1 MemtoReg = 0 RegWrite RegDst = 0 MemtoReg = 0 RegWrite S4: Mem Writeback RegDst = 0 MemtoReg = 1 RegWrite

52 如何设计一个多时钟处理器?

53 如何设计一个多时钟处理器? PCEn IorD MemWrite IRWrite RegDst MemtoReg RegWrite ALUSrcA ALUSrcB 1:0 ALUControl 2:0 BranchPCWrite PCSrc 1:0 PC' CLK PC 0 EN 1 Adr CLK A RD Instr / Data Memory WD WE CLK EN CLK Instr Data 25:21 20:16 20:16 15: CLK A1 A2 WE3 RD1 RD2 A3 Register File WD3 CLK A B 31: << SrcA SrcB ALU Zero ALUResult CLK PCJump <<2 27:0 ALUOut :0 Sign Extend SignImm 25:0 (jump)

54 如何设计一个多时钟处理器? Instructions take different number of cycles: 3 cycles: beq, j 4 cycles: store, addi 5 cycles: load CPI is weighted average ( 时钟周期 / 每条指令 ) SPECINT2000 benchmark: 25% loads 10% stores 11% branches 2% jumps 52% R-type Average CPI = ( )(3) + ( )(4) + (0.25)(5) = 4.12

55 如何设计一个多时钟处理器? Tc=? Element Parameter Delay (ps) Register clock-to-q t pcq_pc 30 Register setup t setup 20 Multiplexer t mux 25 ALU t ALU 200 Memory read t mem 250 Register file read t RFread 150 Register file setup t RFsetup 20

56 如何设计一个多时钟处理器? Element Parameter Delay (ps) Register clock-to-q t pcq_pc 30 Register setup t setup 20 Multiplexer t mux 25 ALU t ALU 200 Memory read t mem 250 Register file read t RFread 150 Register file setup t RFsetup 20 多时钟处理器执行时间 单时钟处理器执行时间

57 如何设计一个多时钟处理器? Program with 100 billion instructions: Execution Time = # instructions x CPI x T C = ( )(4.12)( s) = seconds This is slower than the single-cycle processor (92.5 seconds). Why?

58 回顾 : 单指令处理器 31:26 5:0 MemtoReg Control MemWrite Unit Branch ALUControl 2:0 Op ALUSrc Funct RegDst RegWrite PCSrc PC' CLK PC A RD Instruction Memory Instr 25:21 20:16 CLK A1 A2 WE3 RD1 RD2 A3 Register WD3 File 0 1 SrcA SrcB ALU Zero ALUResult WriteData CLK A RD Data Memory WD WE ReadData 0 1 Result PCJump 4 + PCPlus4 20:16 15:11 15:0 Jump WriteReg 4:0 Sign Extend 0 1 SignImm <<2 + PCBranch 27:0 31:28 25:0 <<2

59 回顾 : 多指令处理器 PC' CLK PC 0 EN 1 Adr CLK A RD Instr / Data Memory WD WE CLK EN CLK Instr Data 25:21 20:16 20:16 15: CLK A1 A2 WE3 RD1 RD2 A3 Register File WD3 CLK A B 31: << SrcA SrcB ALU IorD MemWrite IRWrite 31:26 5:0 CLK Control Unit Op Funct PCWrite Branch PCSrc ALUControl 2:0 ALUSrcB 1:0 ALUSrcA RegWrite PCEn MemtoReg RegDst Zero ALUResult <<2 CLK PCJump 27:0 ALUOut :0 Sign Extend ImmExt 25:0 (Addr)

60 流水线的概念 (1) 以洗衣服为例 假设有 Ann, Brian, Cathy, Dave 四个人, 每个人都需要洗衣 烘干和叠衣服三个步骤 洗衣需要 30 分钟 烘干需要 40 分钟 叠衣服需要 20 分钟 A B C D

61 流水线的概念 (2) 6 PM Midnight Time T a s k O r d e r A B C D 人的衣量, 如果顺序洗衣服, 共需要 6 个小时 如果她们学过流水线需要多少时间?

62 流水线的概念 (3) 6 PM Midnight Time T a s k O r d e r A B C D 4 人的衣量, 如果用流水线的方式洗衣服, 只需要 3.5 小时

63 流水线的概念 (4) T a s k O r d e r A B C D 6 PM Time 流水线无法减少每个任务的延迟, 但可以提高整个工作的吞吐率 流水线的速率受限于速度最慢的一级 多个任务同时执行 最大提速比 = 流水线级数 进 / 出流水线的时间降低了提速比

64 处理器的流水线 通常, 最大的处理速度取决于计算逻辑的传输延迟 如上图, 每隔 T1+T2 时间周期可以处理一个输入数据 寄存器 如上图的结构, 每隔 max{t1,t2} 时间周期可以处理一个输入数据, 但是每个输入处理时间仍需要 T1+T2

65 单时钟处理器 单时钟处理器的数据通路图

66 单时钟处理器 & 流水线设计 多时钟处理器的数据通路图

67 流水线处理器的控制

68 流水线处理器 Temporal parallelism ( 时域并行 ) Divide single-cycle processor into 5 stages: 将单时钟处理器分为 5 级流水 Fetch ( 取指令 ) Decode ( 指令解码 ) Execute ( 执行运算 ) Memory ( 存储器控制 ) Writeback ( 写回操作 ) Add pipeline registers between stages 在每级流水线间增加寄存器

69 Instr 1 2 流水线处理器 Fetch Instruction Decode Read Reg Execute ALU Memory Read / Write Single-Cycle Write Reg Fetch Instruction Decode Read Reg Execute ALU Memory Read / Write Time (ps) Write Reg Pipelined Instr 1 Fetch Instruction Decode Read Reg Execute ALU Memory Read/Write Write Reg 2 Fetch Instruction Decode Read Reg Execute ALU Memory Read/Write Write Reg 3 Fetch Instruction Decode Read Reg Execute ALU Memory Read/Write Write Reg

70 流水线处理器的控制 - 危险? When an instruction depends on result from instruction that hasn t completed 当前指令依赖于前序指令未完成的结果 Types: Data hazard: register value not yet written back to register file 数据冲突 : 寄存器的值还未写回寄存器文件 Control hazard: next instruction not decided yet (caused by branches) 控制冲突 : 由于跳转指令导致的下一条指令未明确

71 流水线处理器的控制 - 数据冲突

72 数据冲突消除 - 增加空指令 Insert enough nops for result to be ready Or move independent useful instructions forward Time (cycles) add $s2 add $s0, $s2, $s3 IM RF $s3 + DM $s0 RF nop IM nop RF DM RF nop IM nop RF DM RF and $t0, $s0, $s1 IM and $s0 RF $s1 & DM $t0 RF 9 10 or $t1, $s4, $s0 IM or $s4 RF $s0 DM $t1 RF sub $t2, $s0, $s5 IM sub $s0 RF $s5 - DM $t2 RF

73 数据冲突消除 - 数据前向转移

74 数据冲突消除 - 数据前向转移

75 数据冲突消除 - 数据前向转移

76 流水线处理器的控制 - 控制冲突

77 流水线处理器的控制 - 控制冲突

78 控制冲突消除

79 控制冲突消除

80 控制冲突消除 - 预测 Branch Guess whether branch will be taken( 猜想 ) Backward branches are usually taken (loops) loops 循环经常发生如 :for 循环 Consider history to improve guess 利用实施数据来提高预测准确性 Good prediction reduces fraction of branches requiring a flush 好的预测可以降低需要冲刷的 Branch 比例

81 多时钟处理器流水线结构的性能 SPECINT2000 benchmark: 25% loads 10% stores 11% branches 2% jumps 52% R-type Suppose: 40% of loads used by next instruction (40% 2 cycles, 60% 1 cycles) 25% of branches mispredicted (75% 1cycle; 25% 2 cycles) All jumps flush next instruction (2 cycles) What is the average CPI? Load/Branch CPI = 1 when no stalling, 2 when stalling CPI lw = 1(0.6) + 2(0.4) = 1.4 CPI beq = 1(0.75) + 2(0.25) = 1.25 Average CPI = (0.25)(1.4) + (0.1)(1) + (0.11)(1.25) + (0.02)(2) + (0.52)(1) = 1.15

82 流水线处理器性能 Program with 100 billion instructions: Execution Time = # instructions x CPI x T C = ( )(4.12)( s) = seconds 多指令处理器性能 Execution Time = (# instructions) CPI T c = ( )(1.15)( ) = 63 seconds 流水线处理器性能

83 流水线处理器增加冲突控制

84 流水线处理器 - 异常处理 Unscheduled function call to exception handler Caused by: Hardware, also called an interrupt, e.g. keyboard 硬件引起的, 也称为中断, 如键盘 Software, also called traps, e.g. undefined instruction 软件引起的, 也称为陷阱, 如未定义的指令 When exception occurs, the processor: Records cause of exception (Cause register) 记录导致异常的原因 Jumps to exception handler (0x ) 跳转到异常处理部分 Returns to program (EPC register) 返回程序 ( 异常 PC 寄存器 )

85 流水线处理器 - 异常处理 ( 举例 )

86 流水线处理器 - 异常处理 Exception Hardware Interrupt Cause 0x System Call Breakpoint / Divide by 0 Undefined Instruction Arithmetic Overflow 0x x x x

87 流水线处理器 - 异常处理

88 流水线处理器 - 异常处理 S12: Undefined PCSrc = 11 PCWrite IntCause = 1 CauseWrite EPCWrite S14: MFC0 RegDst = 0 Memtoreg = 10 RegWrite Op = others S2: MemAdr S0: Fetch IorD = 0 Reset AluSrcA = 0 ALUSrcB = 01 ALUOp = 00 PCSrc = 00 IRWrite PCWrite ALUSrcA = 1 ALUSrcB = 10 ALUOp = 00 Op = LW or Op = SW S1: Decode ALUSrcA = 0 ALUSrcB = 11 ALUOp = 00 Op = R-type S6: Execute ALUSrcA = 1 ALUSrcB = 00 ALUOp = 10 Op = BEQ Op = J Op = ADDI S8: Branch ALUSrcA = 1 ALUSrcB = 00 ALUOp = 01 PCSrc = 01 Branch S11: Jump S9: ADDI Execute PCSrc = 10 PCWrite ALUSrcA = 1 ALUSrcB = 10 ALUOp = 00 Op = LW S3: MemRead IorD = 1 Op = SW S5: MemWrite IorD = 1 MemWrite Op = mfc0 S7: ALU Overflow Overflow Writeback S13: Overflow PCSrc = 11 RegDst = 1 MemtoReg = 00 RegWrite PCWrite IntCause = 0 CauseWrite EPCWrite S10: ADDI Writeback RegDst = 0 MemtoReg = 00 RegWrite S4: Mem Writeback RegDst = 0 MemtoReg = 01 RegWrite

89 高级处理器采用的技术 Deep Pipelining ( 深度流水线 ) Branch Prediction ( 跳转预测 ) Superscalar Processors ( 超标量处理器 ) Out of Order Processors ( 乱序执行处理器 ) Register Renaming ( 寄存器重命名 ) SIMD ( 单指令多数据 ) Multithreading ( 多线程 ) Multiprocessors ( 多处理器 )

90 高级处理器采用的技术 Deep Pipelining ( 深度流水线 ) stages typical Number of stages limited by: Pipeline hazards Sequencing overhead Power Cost

91 高级处理器采用的技术 Branch Prediction( 跳转预测 ) Ideal pipelined processor: CPI = 1 Branch misprediction increases CPI Static branch prediction( 静态预测 ): Check direction of branch (forward or backward) If backward, predict taken Else, predict not taken Dynamic branch prediction( 动态预测 ): Keep history of last (several hundred) branches in branch target buffer, record: Branch destination Whether branch was taken

92 高级处理器采用的技术 Deep Pipelining ( 深度流水线 ) Branch Prediction ( 跳转预测 ) Superscalar Processors ( 超标量处理器 ) Out of Order Processors ( 乱序执行处理器 ) Register Renaming ( 寄存器重命名 ) SIMD ( 单指令多数据 ) Multithreading ( 多线程 ) Multiprocessors ( 多处理器 )

93 超标量处理器 (Superscalar)

94 超标量处理器 (Superscalar)

95 超标量处理器 (Superscalar)

96 高级处理器采用的技术 Deep Pipelining ( 深度流水线 ) Branch Prediction ( 跳转预测 ) Superscalar Processors ( 超标量处理器 ) Out of Order Processors ( 乱序执行处理器 ) Register Renaming ( 寄存器重命名 ) SIMD ( 单指令多数据 ) Multithreading ( 多线程 ) Multiprocessors ( 多处理器 )

97 高级处理器采用的技术 - 乱序 Out of Order Looks ahead across multiple instructions Issues as many instructions as possible at once Issues instructions out of order (as long as no dependencies) Dependencies: RAW (read after write): one instruction writes, later instruction reads a register WAR (write after read): one instruction reads, later instruction writes a register WAW (write after write): one instruction writes, later instruction writes a register

98 高级处理器采用的技术 - 乱序 Out of Order Instruction level parallelism (ILP): number of instruction that can be issued simultaneously (average < 3) Scoreboard: table that keeps track of: Instructions waiting to issue Available functional units Dependencies

99 乱序执行 (Out-of-Order)

100 高级处理器采用的技术 Deep Pipelining ( 深度流水线 ) Branch Prediction ( 跳转预测 ) Superscalar Processors ( 超标量处理器 ) Out of Order Processors ( 乱序执行处理器 ) Register Renaming ( 寄存器重命名 ) SIMD ( 单指令多数据 ) Multithreading ( 多线程 ) Multiprocessors ( 多处理器 )

101 寄存器重命名 (Register Renaming) Time (cycles) lw $t0, 40($s0) sub $r0, $s2, $s3 IM lw sub RF $s0 40 $s2 $s3 + - DM $t0 $r0 RF 2-cycle RAW RAW and $t2, $s4, $r0 or $t3, $s5, $s6 IM and or RF $s4 $r0 $s5 $s6 & DM $t2 $t3 RF RAW add $t1, $t0, $s1 sw $s7, 80($t3) IM add sw RF $t0 $s1 $t DM $s7 $t1 RF

102 高级处理器采用的技术 Deep Pipelining ( 深度流水线 ) Branch Prediction ( 跳转预测 ) Superscalar Processors ( 超标量处理器 ) Out of Order Processors ( 乱序执行处理器 ) Register Renaming ( 寄存器重命名 ) SIMD ( 单指令多数据 ) Multithreading ( 多线程 ) Multiprocessors ( 多处理器 )

103 高级处理器采用的技术 -SIMD SIMD (Single Instruction Multiple Data) Single instruction acts on multiple pieces of data at once 同样的指令同时作用于多个数据 Common application: graphics 常用在图形学应用 Perform short arithmetic operations (also called packed arithmetic) 常常是较短的数值运算 For example, add four 8-bit elements padd8 $s2, $s0, $s Bit position a 3 a 2 a 1 a 0 $s0 + b 3 b 2 b 1 b 0 $s1 a 3 + b 3 a 2 + b 2 a 1 + b 1 a 0 + b 0 $s2

104 高级处理器采用的技术 Deep Pipelining ( 深度流水线 ) Branch Prediction ( 跳转预测 ) Superscalar Processors ( 超标量处理器 ) Out of Order Processors ( 乱序执行处理器 ) Register Renaming ( 寄存器重命名 ) SIMD ( 单指令多数据 ) Multithreading ( 多线程 ) Multiprocessors ( 多处理器 )

105 高级处理器采用的技术 Multithreading - Wordprocessor: thread for typing, spell checking, printing 如文字处理时, 键入, 拼写检查, 打印 Multiprocessors - Multiple processors (cores) on a single chip 单颗芯片上有多个处理器 ( 处理核 )

106 进程 v.s 线程 Process: program running on a computer Multiple processes can run at once: e.g., surfing Web, playing music, writing a paper 多个进程可以同时工作 : 浏览网站 播放音乐 写论文 Thread: part of a program Each process has multiple threads: e.g., a word process may have threads for typing, spell checking, printing 每个进程含有多个线程 : 如同样的文字处理包含键入 拼写检查 打印等线程 ( 可能共享数据, 或共同完成任务 )

107 传统处理器中的线程 One thread runs at once When one thread stalls (for example, waiting for memory): Architectural state of that thread stored 当线程等待时, 状态需要存储 Architectural state of waiting thread loaded into processor and it runs 当线程的状态读入处理器时, 线程继续执行 Called context switching Appears to user like all threads running simultaneously 从用户的角度看多个线程是在同时执行

108 多线程处理器中的线程 Multiple copies of architectural state Multiple threads active at once: When one thread stalls, another runs immediately If one thread can t keep all execution units busy, another thread can use them Does not increase instruction-level parallelism (ILP) of single thread, but increases throughput Simultaneously multithreading Intel calls this hyperthreading

109 多核处理器 Multiple processors (cores) with a method of communication between them Types: Homogeneous: multiple cores with shared memory Heterogeneous: separate cores for different tasks (for example, DSP and CPU in cell phone) Clusters: each core has own memory system

110 多核多线程处理器举例 Intel Xeon processor with 6 cores/12 Threads and 6 L3 cache units IBM BG/Q Compute Chip with 18 cores (PU) and 16 L2 Cache units (L2) Nvdia Kepler GPGPU

111 Source from Kirk (Vice President, Intel Crop) 高性能计算已经成为科学研究和重大工程设计中具有战略意义的研究手段

112 Pen gju R en@ IA=I 并行性 性能提升 RX JTU 片上核心的数目每18个月翻倍代替时钟频率x2! 处理器是未来的晶体管

113 能耗是传统方法不再适用的核心问题 64 bit DP 20pJ 256-bit access 8kB SRAM 256-bit Buses 26pJ 256pJ 20 mm 50pJ 效率 = 局域性 500pJ 16nJ DRAM Rd/Wr Off-chip Access 1nJ 28 nm 计算系统需要大量的处理单元 ( 提供潜在的并行性 ), 显式的存储层次化结构 ( 提供计算局域性 ) 高效的通讯系统 ( 提高系统协同效率 ), 多种方法协同的容错机制 ( 系统可靠性 ) 1Gb 2GHz Embedded DRAM in 22nm Tri-Gate (Intel 2014 ISSCC) Source from Professor Bill Dally (Chief scientist of Nvidia)

114 片上互连网络的发展路线图 Bus (core<=8) Ring (cores<10) Crossbar (cores<16) 2D Mesh (cores<=100 ) Optical Network (cores >100) 3D Topology (cores >100) IBM Cell (8-core) Sun SPAC T1 (8-core) Intel TeraFlop80 (80-core) Intel SCC48 (48-core) Tilera (64/100cores) Sun SPAC T5 (16-core) ATAC (1024 core)

115 State-of-the-art 的研究成果 The chip, called TrueNorth, consists of 1 million programmable neurons and 256 million programmable synapses across 4096 individual neurosynaptic cores. Built on Samsung s 28nm process and with a monstrous transistor count of 5.4 billion. IBM is now a big step closer to building a brain on a chip. P. A. Merolla, J. V. Arthur, R. Alvarez-Icaza, A. S. Cassidy, J. Sawada, F. Akopyan, B. L. Jackson, N. Imam, C. Guo, Y. Nakamura et al., A million spiking-neuron integrated circuit with a scalable communication network and interface, Science, vol. 345, no. 6197, pp , 2014.

116 State-of-the-art 的研究成果

117 片上互联网络通讯范式 (0,3) (1,3) (2,3) (3,3) (0,2) (1,2) (2,2) (3,2) (0,1) (1,1) (2,1) (3,1) (0,0) (1,0) (2,0) (3,0) Processor Element Router 片上互联网络当前的发展水平 e.g. Intel Tera80 (2007), Single-cloud computing 48 (2009), Tilera 64/100 (2011) 16x16 Network-on-chip in 22nm 340mV-0.9V 20.2Tb/s (Intel 2014) A 22nm 15-Core Enterprise Xeon Processor (Intel 2014)

118 片上互连网络的研究内容 Module description & Organization Communication profile Module Grouping Task Mapping & Partition & Scheduling Design Constrains & Goals Optimization Communication Routing, Infrastructure Flow control Analysis etc. Simulation Prototyping Test & Verification Physical synthesis & Floorplan &Tapeout 从计算机体系结构 操作系统 嵌入式系统 VLSI 系统设计和计算机辅助设计等

119 HPC

120 其他参考学习资料 Patterson & Hennessy s: Computer Architecture: A Quantitative Approach 计算机体系结构的圣经 Conferences: ( 国际顶级会议 ) ISCA (International Symposium on Computer Architecture) HPCA (International Symposium on High Performance Computer Architecture)

121 嵌入式课程第一次实验安排在第 五周 请各班班长去实验中心与 刘美兰老师联系实验时间

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

Microsoft PowerPoint - CA_04 Chapter6 v ppt

Microsoft PowerPoint - CA_04 Chapter6 v ppt Chap. 6 Enhancing Performance with Pipelining 臺大電機系吳安宇教授 V1. 2007/04/20 臺大電機吳安宇教授 - 計算機結構 1 Outline 6.1 An Overview of Pipelining 6.2 A Pipelined Datapath 6.3 Pipelined Control 6.4 Data Hazards and Forwarding

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

单周期数据通路

单周期数据通路 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2)

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2) Computer Architecture Fall, 2017 Week 13 2017.12.04 [Group 11] 1. 請詳述為何在 MIPS 中不會發生 WAR 與 WAW 這兩種 Hazards ANS: Use simple, fixed designs WAR: 因為 Write 是第五個 Stage,Read 是第二個 Stage, 因此 Write 永遠在 Read 後面,

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Intel® Core2™ i7 Processor

Intel® Core2™ i7 Processor Intel CPU 的 演 進 及 Core2 i7/i5/i3 處 理 器 架 構 之 探 討 報 告 人 : 資 訊 工 程 系 俞 朝 福 中 華 民 國 九 十 九 年 三 月 三 十 一 日 1 PART I Intel 處 理 器 的 演 進 1971~2010 走 過 處 理 器 40 年 2 Intel CPU Pre-x86 4004-- 全 球 第 一 款 微 處 理 器, 於

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To PowerDesigner Applying PowerDesigner CASE Tool in OOAD albertchung@mpinfo.com.tw PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE Tool PowerDesigner CASE Tool CASE Tool PowerDesignerUnified ProcessUMLing

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

F4

F4 DOI:10.3969/j.issn.1009-6868.2016.01.002 网 络 出 版 地 址 :http://www.cnki.net/kcms/detail/34.1228.tn.20151117.1506.006.html Challenges and Countermeasures of Network Space Security 周 延 森 /ZHOU Yansen 周 琳 娜

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

IT Data-intensive application,iscsi Middl

IT Data-intensive application,iscsi Middl 112-861 2-1-1 163 8677 1 24 2 E-mail: shiori@ogl.is.ocha.ac.jp, sane@cc.kogakuin.ac.jp, oguchi@computer.org IT Data-intensive application,iscsi iddleware for Load Distribution among Cloud Computing Resource

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

提纲 1 2 OS Examples for 3

提纲 1 2 OS Examples for 3 第 4 章 Threads2( 线程 2) 中国科学技术大学计算机学院 October 28, 2009 提纲 1 2 OS Examples for 3 Outline 1 2 OS Examples for 3 Windows XP Threads I An Windows XP application runs as a seperate process, and each process may

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5]

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5] DYNAMIC SCHEDULING IN TWO-MACHINE FLOW-SHOP WITH RECIRCULATION em-plant( SiMPLE++) Jen-Shiang Chen, Jar-Her Kao, Chun-Chieh Chen, Po-Cheng Liu, and Wen-Pin Lin Department of Industrial Engineering and

More information

<4D6963726F736F667420576F7264202D203338B4C12D42A448A4E5C3C0B34EC3FE2DAB65ABE1>

<4D6963726F736F667420576F7264202D203338B4C12D42A448A4E5C3C0B34EC3FE2DAB65ABE1> ϲ ฯ र ቑ ጯ 高雄師大學報 2015, 38, 63-93 高雄港港史館歷史變遷之研究 李文環 1 楊晴惠 2 摘 要 古老的建築物往往承載許多回憶 也能追溯某些歷史發展的軌跡 位於高雄市蓬 萊路三號 現為高雄港港史館的紅磚式建築 在高雄港三號碼頭作業區旁的一片倉庫 群中 格外搶眼 這棟建築建成於西元 1917 年 至今已將近百年 不僅躲過二戰戰 火無情轟炸 並保存至今 十分可貴 本文透過歷史考證

More information

solutions guide

solutions guide solutions guide Tridium 01 Table of Contents Tridium... 1 Frameworks... 4 Niagara AX Framework... 5 Sedona Framework... 6.... 7 NPM... 8 Sedona Chip... 9 AX Supervisor... 10 AX SoftJACE...11...12. JACE

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

豐佳燕.PDF

豐佳燕.PDF Application of Information Literacy to chiayen@estmtc.tp.edu.tw information literacy Theme-oriented teaching. Abstract Based on the definition of Information Literacy and Six core concepts of the problem

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 1...3 2...20 3...28 4...41 5 Windows SQL Server...47 Microsoft SQL Server 2005 DBSRV1 Microsoft SQL Server

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

untitled

untitled Ogre Rendering System http://antsam.blogone.net AntsamCGD@hotmail.com geometry systemmaterial systemshader systemrendering system API API DirectX OpenGL API Pipeline Abstraction API Pipeline Pipeline configurationpipeline

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Learning Java

Learning Java Java Introduction to Java Programming (Third Edition) Prentice-Hall,Inc. Y.Daniel Liang 2001 Java 2002.2 Java2 2001.10 Java2 Philip Heller & Simon Roberts 1999.4 Java2 2001.3 Java2 21 2002.4 Java UML 2002.10

More information

Microsoft Word - ChineseSATII .doc

Microsoft Word - ChineseSATII .doc 中 文 SAT II 冯 瑶 一 什 么 是 SAT II 中 文 (SAT Subject Test in Chinese with Listening)? SAT Subject Test 是 美 国 大 学 理 事 会 (College Board) 为 美 国 高 中 生 举 办 的 全 国 性 专 科 标 准 测 试 考 生 的 成 绩 是 美 国 大 学 录 取 新 生 的 重 要 依

More information

4. 每 组 学 生 将 写 有 习 语 和 含 义 的 两 组 卡 片 分 别 洗 牌, 将 顺 序 打 乱, 然 后 将 两 组 卡 片 反 面 朝 上 置 于 课 桌 上 5. 学 生 依 次 从 两 组 卡 片 中 各 抽 取 一 张, 展 示 给 小 组 成 员, 并 大 声 朗 读 卡

4. 每 组 学 生 将 写 有 习 语 和 含 义 的 两 组 卡 片 分 别 洗 牌, 将 顺 序 打 乱, 然 后 将 两 组 卡 片 反 面 朝 上 置 于 课 桌 上 5. 学 生 依 次 从 两 组 卡 片 中 各 抽 取 一 张, 展 示 给 小 组 成 员, 并 大 声 朗 读 卡 Tips of the Week 课 堂 上 的 英 语 习 语 教 学 ( 二 ) 2015-04-19 吴 倩 MarriottCHEI 大 家 好! 欢 迎 来 到 Tips of the Week! 这 周 我 想 和 老 师 们 分 享 另 外 两 个 课 堂 上 可 以 开 展 的 英 语 习 语 教 学 活 动 其 中 一 个 活 动 是 一 个 充 满 趣 味 的 游 戏, 另 外

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

untitled

untitled Co-integration and VECM Yi-Nung Yang CYCU, Taiwan May, 2012 不 列 1 Learning objectives Integrated variables Co-integration Vector Error correction model (VECM) Engle-Granger 2-step co-integration test Johansen

More information

RAQMON Context Setting MG PDA Applications RTP / FTP/ HTTP TCP/UDP S ignaling control plane (e.g. RS VP, NS IS) Streaming Media, Transaction, Bulk dat

RAQMON Context Setting MG PDA Applications RTP / FTP/ HTTP TCP/UDP S ignaling control plane (e.g. RS VP, NS IS) Streaming Media, Transaction, Bulk dat Realtime Application QOS Monitoring (RAQMON) Dan Romascanu dromasca@avaya.com 1 RAQMON Context Setting MG PDA Applications RTP / FTP/ HTTP TCP/UDP S ignaling control plane (e.g. RS VP, NS IS) Streaming

More information

BYOD IP+Optical (IP NGN) API 4. End-to-End (Service Aware) 5. IP NGN (IP Next Generation Network) ( ) Prime Carrier Management Access Edge Co

BYOD IP+Optical (IP NGN) API 4. End-to-End (Service Aware) 5. IP NGN (IP Next Generation Network) ( ) Prime Carrier Management Access Edge Co BYOD 228 2015 IT open source DIY ( ) Up/Down HP NNMi WhatsUp Gold Nagios HP SiteScope WhatsUp Gold HP NNMi WhatsUp Gold Cacti MRTG HP ispi Performance for Metrics WhatsUp Gold ( ) Open source Agent End-to-End

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

Microsoft PowerPoint - RT0950_EliminatingRubyGILthroughHTM_Slides_ja.ppt

Microsoft PowerPoint - RT0950_EliminatingRubyGILthroughHTM_Slides_ja.ppt Ruby, Jose G. Castanos IBM Research Watson Research Center Ruby Python JIT Rubinius ytljit PyPy Fiorano HPC Ruby 1 2 (HTM) TM TM Sun Microsystems Blue Gene/Q 2012 Rock Processor Intel zec12 2012 Transactional

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

Microsoft PowerPoint - STU_EC_Ch02.ppt

Microsoft PowerPoint - STU_EC_Ch02.ppt 樹德科技大學資訊工程系 Chapter 2: Number Systems Operations and Codes Shi-Huang Chen Sept. 2010 1 Chapter Outline 2.1 Decimal Numbers 2.2 Binary Numbers 2.3 Decimal-to-Binary Conversion 2.4 Binary Arithmetic 2.5

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx ENSC 427 Communication Networks Spring 2016 Group #2 Project URL: http://www.sfu.ca/~rkieu/ensc427_project.html Amer, Zargham 301149920 Kieu, Ritchie 301149668 Xiao, Lei 301133381 1 Roadmap Introduction

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

Gassama Abdoul Gadiri University of Science and Technology of China A dissertation for master degree Ordinal Probit Regression Model and Application in Credit Rating for Users of Credit Card Author :

More information

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F (Activity-Based Costing) (Activity-Based Budgeting) (Activity-Base Management) (Advanced Planning and Scheduling) Application Service Provider (Available To Promise) (Bill Of Material) (Business Process

More information

Master Thesis_專門用來製作目錄.doc

Master Thesis_專門用來製作目錄.doc Introduction All-IP [1-4] All-IP packet switching long delay time, jitter packet loss All-IP Budget-Based QoS End-to-End QoS (real time on demand) 1 1.1 Circuit Switching Packet Switching DWDM IP VoIP

More information

BIBLID 0254-4466(2001)19:1 pp. 249-276 19 1 90 6 ** * ** 88 I 2000 8 249 250 19 1 251 1873-1929 1900 1 1902 1 35 1900 1960 7-12 252 19 1 2 3 2 1900 1902 3 2000 129-197 253 4 5 6 4 1902 1962 103 5 Joseph

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63>

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63> 2010 年 理 工 类 AB 级 阅 读 判 断 例 题 精 选 (2) Computer mouse How does the mouse work? We have to start at the bottom, so think upside down for now. It all starts with mouse ball. As the mouse ball in the bottom

More information

安全防范

安全防范 8989 Be Right TM Sigma 900 5/03 2003 ...1...4...8 1.1...8 1.2...9 1.2.1...9 1.2.2...12 1.3...12 1.4...12 1.4.1...12 1.4.2...13 1.4.3...14 1.5...15 1.6...16 1.7...16 1.7.1...17 1.7.2...17 1.7.3...18 1.7.4

More information

L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7

L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7 Compensation Design - L L L-1 L-1 L-1 L-1 L-1 L-2 L-1 L-1 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-2 L-3 L-3 L-3 L-3 L-2 L-2 L-2 L-2 L-2 15 14 13 12 11 10 9 8 7 100,000 80,000 $ 60,000 40,000 20,000 80,000

More information

和文タイトル

和文タイトル - Bus Timetable Design to Ensure Smooth Transfer and Avoid Delay Propagation - An Application of Optimization Techniques to Design of Public Transport Services in Fuji City * ** *** ** Takehiro TANAKA*,

More information

课题调查对象:

课题调查对象: 1 大 陆 地 方 政 府 大 文 化 管 理 职 能 与 机 构 整 合 模 式 比 较 研 究 武 汉 大 学 陈 世 香 [ 内 容 摘 要 ] 迄 今 为 止, 大 陆 地 方 政 府 文 化 管 理 体 制 改 革 已 经 由 试 点 改 革 进 入 到 全 面 推 行 阶 段 本 文 主 要 通 过 结 合 典 型 调 查 法 与 比 较 研 究 方 法, 对 已 经 进 行 了 政 府

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis Chap. 4 Techniques of Circuit Analysis Contents 4.1 Terminology 4.2 Introduction to the Node-Voltage Method 4.3 The Node-Voltage Method and Dependent Sources 4.4 The Node-Voltage Method: Some Special Cases

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information