Microsoft PowerPoint - chap04.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - chap04.ppt"

Transcription

1 第四章 組合邏輯 4- 組合電路

2 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2

3 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3

4 4-4 二進位加法器 --- 減法器 半加法器 (half adder) x y S C S = x y + xy C = xy 半加法器 電路 4

5 全加法器 真值表 x y z C S S = x y z + x yz + x y z + xyz C = xy + yz + xz 全加法器 卡諾圖 5

6 全加法器 電路 二進位加法器 A = B = S = 6

7 進位傳播 進位產生 Gi 進位傳播 Pi P = A B i i i G = A B i i i Si = Pi Ci C = G + PC i+ i i i 進位遞迴產生器 7

8 具有進位遞迴的 4 位元加法器 二進位減法器 4 位元加法器 --- 減法器 8

9 4-5 十進位加法 / 器 BCD 加法器的推導 BCD 加法器電路 9

10 4-6 二進位乘法器 2 位元乘 2 位元 4 位元乘 3 位元之二進位乘法器

11 4-7 大小比較器 A = A B = B 3A2 A A 3B2B B x = A B + A B i i i i i ( A = B) = x x x x ( A > B) = A B + x A B + x x A B + x x x A B ( A < B) = A B + x A B + x x A B + x x x A B 位元大小比較器

12 4-8 解碼器 具有致能輸入之 2 對 4 線解碼器 2

13 利用 3x8 解碼器建立 4x6 解碼器 利用解碼器實現組合邏輯電路 S( x, y, z) = (,2,4,7) C( x, y, z) = (3,5,6,7) 3

14 4-9 編碼器 z = D + D y = D x = D D + D D 5 + D + D D 7 + D + D 7 7 優先權編碼器之真值表 4

15 優先權編碼器卡諾圖 4 輸入優先權編碼器 x = D 2 y = D 3 V = D + D 3 + D D 2 + D + D 2 + D 3 5

16 4- 多工器 (Multiplexers) 4 對 線多工器 6

17 四重 2 對 線多工器 利用多工器實現布林函數 F( x, y, z) = (,2,6,7 ) 7

18 利用多工器實現一個 4 輸入函數 F( A, B, C, D) = (,3,4,,2,3,4,5 ) 三態閘 8

19 利用三態閘構成多工器 4- 組合電路的硬體描述語言 閘階層模型關鍵字 and nand or nor xor xnor not buf 9

20 HDL 範例 4- (2 對 4 線解碼器的閘階層描述 ) //Gate-level description of a 2-to-4-line decoder //Figure 4-9 module decoder_gl (A,B,E,D); input A,B,E; output [:3]D; wire Anot,Bnot,Enot; not n (Anot,A), n2 (Bnot,B), HDL 範例 4- (2 對 4 線解碼器的閘階層描述 ) n3 (Enot,E); nand n4 (D[],Anot,Bnot,Enot), n5 (D[],Anot,B,Enot), n6 (D[2],A,Bnot,Enot), n7 (D[3],A,B,Enot); endmodule 2

21 HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) //Gate-level hierarchical description of 4-bit adder // Description of half adder (see Fig 4-5b) module halfadder (S,C,x,y); input x,y; output S,C; //Instantiate primitive gates xor (S,x,y); and (C,x,y); HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) endmodule //Description of full adder (see Fig 4-8) module fulladder (S,C,x,y,z); input x,y,z; output S,C; wire S,D,D2; //Outputs of first XOR and two AND gates //Instantiate the halfadder halfadder HA (S,D,x,y), 2

22 HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) HA2 (S,D2,S,z); or g(c,d2,d); endmodule //Description of 4-bit adder (see Fig 4-9) module _4bit_adder (S,C4,A,B,C); input [3:] A,B; input C; HDL 範例 4-2 (4 位元加法器之底部向上層次化描述 ) output [3:] S; output C4; wire C,C2,C3; //Intermediate carries //Instantiate the fulladder fulladder FA (S[],C,A[],B[],C), FA (S[],C2,A[],B[],C), FA2 (S[2],C3,A[2],B[2],C2), FA3 (S[3],C4,A[3],B[3],C3); endmodule 22

23 三態閘 bufif (OUT, A, control); notif (Y, B, enable); 具有三態緩衝器之 2 對 線多工器 module muxtri (A, B, select, OUT); input A, B, select; output OUT; tri OUT; bufif (OUT, A, select); bufif (OUT, B, select); endmodule 23

24 資料流程模型 Verilog HDL 運算子 HDL 範例 4-3 (2 對 4 線解碼器的資料流程資料流程描述 ) //Dataflow description of a 2-to-4-line decoder //See Fig.4-9 module decoder_df (A,B,E,D); input A,B,E; output [:3] D; assign D[] = ~(~A & ~B & ~E), D[] = ~(~A & B & ~E), D[2] = ~(A & ~B & ~E), D[3] = ~(A & B & ~E); endmodule 24

25 HDL 範例 4-4 (4 位元加法器之資料流程描述 ) //Dataflow description of 4-bit adder module binary_adder (A,B,Cin,SUM,Cout); input [3:] A,B; input Cin; output [3:] SUM; output Cout; assign {Cout,SUM} = A + B + Cin; endmodule HDL 範例 4-5 ( 大小比較器之資料流程描述 ) //Dataflow description of a 4-bit comparator. module magcomp (A,B,ALTB,AGTB,AEQB); input [3:] A,B; output ALTB,AGTB,AEQB; assign ALTB = (A < B), AGTB = (A > B), AEQB = (A = = B); endmodule 25

26 HDL 範例 4-6 (2 對 線多工器使用條件式運算子描述 ) //Dataflow description of 2-to--line multiplexer module mux2x_df (A,B,select,OUT); input A,B,select; output OUT; assign OUT = select? A : B; endmodule 行為模型 HDL 範例 4-7 (2 對 線多工器使用條件式運算子描述 ) //Behavioral description of 2-to--line multiplexer module mux2x_bh(a,b,select,out); input A,B,select; output OUT; reg OUT; (select or A or B) if (select == ) OUT = A; else OUT = B; endmodule 26

27 HDL 範例 4-8 (4 對 線多工器之行為描述 ) //Behavioral description of 4-to-- line multiplexer //Describes the function table of Fig. 4-25(b). module mux4x_bh (i,i,i2,i3,select,y); input i,i,i2,i3; input [:] select; output y; reg y; HDL 範例 4-8 (4 對 線多工器之行為描述 ) (i or i or i2 or i3 or select) case (select) 2'b: y = i; 2'b: y = i; 2'b: y = i2; 2'b: y = i3; endcase endmodule 27

28 測試平台 一個模擬模組是具有下列形式的 HDL 程式 module 測試名稱 宣告特有的 reg 和 wire 識別字 在測試下例示設計模組 利用 initial 及 always 敘述產生模擬 顯示輸出響應 endmodule 系統功能 $display-- 顯示具有 end-of-line return 之變數或字串的一次值 $write 與 $display 相同, 但是沒有到下一行 $monitor 當在模擬期間值改變時及顯示變數 $time-- 顯示模擬時間 $finish-- 結束模擬 28

29 模擬與設計的交互模組 HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) //Stimulus for mux2x_df. module testmux; reg TA,TB,TS; //inputs for mux wire Y; //output from mux mux2x_df mx (TA,TB,TS,Y); // instantiate mux initial 29

30 HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) begin TS = ; TA = ; TB = ; # TA = ; TB = ; # TS = ; # TA = ; TB = ; end HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) initial $monitor("select = %b A = %b B = %b OUT = %b time = %d", TS, TA, TB, Y, $time); endmodule 3

31 HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) //Dataflow description of 2-to--line multiplexer //from Example 4-6 module mux2x_df (A,B,select,OUT); input A,B,select; output OUT; assign OUT = select? A : B; endmodule HDL 範例 4-9 ( 測試範例 4-6 所描述的 2 對 多工器 ) Simulatiom log: select = A= B= OUT= time= select = A= B= OUT= time= select = A= B= OUT= time=2 select = A= B= OUT= time=3 3

32 HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) //Gate-level description of circuit of Fig. 4-2 module analysis (A,B,C,F,F2); input A,B,C; output F,F2; wire T,T2,T3,F2not,E,E2,E3; or g (T,A,B,C); and g2 (T2,A,B,C); HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) and g3 (E,A,B); and g4 (E2,A,C); and g5 (E3,B,C); or g6 (F2,E,E2,E3); not g7 (F2not,F2); and g8 (T3,T,F2not); or g9 (F,T2,T3); endmodule 32

33 HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) //Stimulus to analyze the circuit module test_circuit; reg [2:]D; wire F,F2; analysis fig42(d[2],d[],d[],f,f2); initial begin HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) D = 3'b; repeat(7) # D = D + 'b; end initial $monitor ("ABC = %b F = %b F2 =%b ", D, F, F2); endmodule 33

34 HDL 範例 4- ( 全加法器之多階電路的閘階層描述 ) Simulation log: ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= ABC= F= F2= 34

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

untitled

untitled 1 2 3 4 5 6 2005 30 28 36 29 19 33 6 58 1 1 2. 3 1 2 4 5 6 7 8 58 2 30 30 1 01 58 3 2 1 2 3 1 2 3 4 5 58 4 6 7 8 1 9 10 11 12 13 14 15 16 17 18 19 20 1 ( 1 ) 21 22 23 24 25 26 58 5 27 28 29 30 31 32 33

More information

untitled

untitled 2005 3 13 Introduction Circuit and system representation Design strategies Introduction Circuit and system representation Design strategies Four Phases in Creating a Chip This Lecture Other Lecture Other

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

5. 閱 讀 下 文, 推 斷 內 最 適 合 填 入 的 詞 語 依 序 為 何? 人 也 真 是 一 個 絕 字, 一 邊 向 左, 一 邊 向 右, 一 副 的 樣 子, 偏 又 相 連 著, 各 說 各 話 各 走 各 路, 卻 又 人, 這 麼 一 個 簡 單 的 字, 竟 包 含 如 此

5. 閱 讀 下 文, 推 斷 內 最 適 合 填 入 的 詞 語 依 序 為 何? 人 也 真 是 一 個 絕 字, 一 邊 向 左, 一 邊 向 右, 一 副 的 樣 子, 偏 又 相 連 著, 各 說 各 話 各 走 各 路, 卻 又 人, 這 麼 一 個 簡 單 的 字, 竟 包 含 如 此 103 學 年 度 四 技 二 專 統 一 入 學 測 驗 國 文 試 題 一 選 擇 題 ( 一 ) 綜 合 測 驗 20 題 1. 下 列 各 組 內 的 字, 何 者 讀 音 不 同? (A) 諮 諏 善 道 / 渡 大 海, 入 荒 陬 (B) 傴 僂 提 攜 / 嘔 啞 嘲 哳 難 為 聽 (C) 跫 音 不 響 / 秋 蟬 兒 噪 罷 寒 蛩 兒 叫 (D) 形 容 枯 槁 / 阿 縞

More information

2l dm m K = 2 d m = 2lk d 2l dm 2 3 5 3927 1250 = 3.1416 1 10 1 1 2 2 m 1 1 4 4 1 2 m P A P = C 2 5 } 2 = 5 C 14 8 1 36 5 6 7 1 P( 6) + P( 7) + P( 8) = + + = 36 36 36 2 1 36 154 154 250 6 2 250 6 312.

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft Word - 第五章

Microsoft Word - 第五章 5 組合邏輯電路設計 算術運算電路 hpter hpter hpter hpter hpter hpter hpter hpter 概述 加 減 乘與除等四種算術運算在我們日常生活中, 用來處理數值運算之基本方法, 因此數位電路 (Dgtl rcut) 亦應具有這些基本算術運算功能, 才能用來幫助人類處理各種繁瑣之數值運算問題 數位電路僅能用來處理二進位 ( 二元性 ) 資料, 若引入補數 (omplement)

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

Microsoft PowerPoint - chap05.ppt

Microsoft PowerPoint - chap05.ppt 第五章同步序向邏輯 5- 序向電路 同步時脈序向電路 5-2 閂鎖器 SR 閂鎖器 (SR Latch): 由 NOR 閘所構成之 SR 閂鎖器 由 NAND 閘所構成之 SR 閂鎖器 2 具有控制輸入之 SR 閂鎖器 D 型閂鎖器 (D Latch) 3 閂鎖器之符號圖 5-3 正反器 閂鎖器和正反器之時脈響應 4 邊緣觸發 D 型正反器 (Edge- Triggered D Flip-Flop)

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

<4D6963726F736F667420576F7264202D2032303135C4EAC8EBD1A74D4241C1AABFBCD7DBBACFB2CEBFBCB4F0B0B8BCB0CFEABDE22E646F6378>

<4D6963726F736F667420576F7264202D2032303135C4EAC8EBD1A74D4241C1AABFBCD7DBBACFB2CEBFBCB4F0B0B8BCB0CFEABDE22E646F6378> 05 年 入 学 MBA 联 考 综 合 试 卷 参 考 答 案 及 详 解 说 明 : 由 于 05 年 入 学 MBA 联 考 试 题 为 一 题 多 卷, 因 此 现 场 试 卷 中 的 选 择 题 顺 序 及 每 道 题 的 选 项 顺 序, 不 同 考 生 有 所 不 同 请 在 核 对 答 案 时 注 意 题 目 和 选 项 的 具 体 内 容 所 有 解 析 来 自 网 络, 仅 供

More information

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在 國 二 國 文 範 圍 :B3: 第 二 課 美 猴 王 一 國 字 及 注 音 1. 拱 ㄈㄨˊ 無 違 : 2. 拍 手 稱 ㄧㄤˊ : 3. 詼 ㄒㄧㄝˊ 風 趣 : 4. ㄔㄢˊ 鬥 : 5. 搔 癢 : 6. ㄓㄤ 頭 鼠 目 : 7. 玩 ㄕㄨㄚˇ : 8. 石 竅 : 9. 採 花 ㄇㄧˋ 果 : 10. 長 途 ㄅㄚˊ 涉 : 11. 喜 不 自 勝 : 12. 進 ㄓㄨˋ 水 簾

More information

2012年 MBA系统班数学应用题部分

2012年 MBA系统班数学应用题部分 202 年 管 理 类 研 究 生 考 试 系 统 班 数 学 应 用 题 部 分 编 写 孙 华 明 前 言 随 着 MBA,MPA,MPAcc 考 试 的 合 并, 考 查 高 等 数 学 的 时 代 已 经 过 去, 为 了 体 现 考 试 的 公 平 性, 目 前 我 们 的 联 考 只 涉 及 初 等 数 学 的 知 识 点, 而 联 考 目 的 是 选 拔 具 有 高 素 质 高 洞 察

More information

!!""# #$ # %& (&)* +,-./* /*+ 4-.* / /+!""#: ;-1< #$: =-< #!""" > > #??? #??? #??> ## #??? >!$ > > $"

!!# #$ # %& (&)* +,-./* /*+ 4-.* / /+!#: ;-1< #$: =-< #! > > #??? #??? #??> ## #??? >!$ > > $ "##!!$! %& (&)* +,-./*01-2 3./*+ 4-.*5+ 6 7./208 918 /+ "##!: ;-1>!!>>? @!>>A !!""# #$ # %& (&)* +,-./*01-2 3./*+ 4-.*5+ 6 7./208 918 /+!""#: ;-1< #$: =-< #!""" > > #??? #??? #??> ## #???

More information

一、审计的分类

一、审计的分类 一 审 计 的 分 类 按 主 体 分 为 : 政 府 审 计 : 强 制 性 内 部 审 计 : 一 般 存 在 于 企 业 内 部 注 册 会 计 师 审 计 : 民 间 审 计 按 目 的 和 内 容 分 为 : 财 务 报 表 审 计 经 营 审 计 合 规 性 审 计 按 与 被 审 计 单 位 的 关 系 分 为 : 内 部 审 计 外 部 审 计 二 注 册 会 计 师 审 计 与 内

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

( ) ( ) ( ) S = { s 0, s 1, s 2,..., s M 1 } (1.1-1) M = S (1.1-2) a a, a,..., a,... (1.1-3) 0, 1 2 t p = p s ) (1.1-4) i ( i M 1 pi i= 0 = 1 (1.1-5) M 1 1 H ( S) = p log i (1.1-6) i pi M 1 i= 0 L = p

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!# $% & $%%% ( )*+,-./00-(11.-. $%! $  # $ % & ( - ) +%23!# $%%% %,.%,! $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! )*+,-./00-(11 !"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% 4 3301 3 & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11.-. & " 2./ $. %% !" #!!"""!"!"!"!" "!!#!#!#!# "!###!!$

More information

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

!! !! ! !! ! ! !!#$% & ()*+, -./!000$ 1-2$##0! 3 ! !! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3 !" #" $%& " (" ) ( !!" #" #$$$! #$$%!# & !" #" $" % !!" #" $" %"! &! &!! &! &! !" #$% #$% &" " (" )" * !!!!!!!!!!!! "!!"!! "!! " # " # " # $ "%

More information

<4D6963726F736F667420576F7264202D20B5DAD2BBC6AA20BBF1BDB1BCB0C8CBB2C5C5E0D1F8B5C8B2BFB7D6C4BFC2BC>

<4D6963726F736F667420576F7264202D20B5DAD2BBC6AA20BBF1BDB1BCB0C8CBB2C5C5E0D1F8B5C8B2BFB7D6C4BFC2BC> 1. 广 东 省 南 粤 优 秀 教 师 2. 广 东 省 师 德 先 进 个 人 人 才 培 养 情 况 / 获 其 他 奖 励 与 荣 誉 情 况 获 奖 及 教 学 成 果 等 部 分 目 录 第 一 篇 近 五 年 教 学 科 研 方 面 获 奖 及 其 他 证 书 材 料 3. 广 东 农 工 商 职 业 技 术 学 院 优 秀 教 师 4. 广 东 省 科 学 技 术 奖 一 等 奖 --

More information

!##$!% "&! %( $#!##)!& $!##*!##*! "

!##$!% &! %( $#!##)!& $!##*!##*! "!! " " " " " " " " " " " " " " " " "!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #!$% & &&% (!) &*% ( &++(!( &++* * ( )!+ #* #$ & # *, )!!!* &- &) #-! *, #,! " !##$!% "&! %( $#!##)!& $!##*!##*! " " 92 %, #$ %&#! 8$*2$*112

More information

<4D6963726F736F667420576F7264202D20BCD2CDA5B1A3BDA1D2A9C9C5BEC62020CFC2>

<4D6963726F736F667420576F7264202D20BCD2CDA5B1A3BDA1D2A9C9C5BEC62020CFC2> 第 六 章 药 酒 保 健 酒 第 一 节 药 酒 知 识 介 绍 药 酒 和 保 健 酒 是 我 国 的 传 统 酒 之 一 以 治 疗 和 防 治 疾 患 为 目 的 的 为 药 酒, 如 风 湿 酒 虎 骨 酒 等, 以 滋 补 身 体, 强 身 补 血 为 主 的 药 酒 又 称 为 保 健 酒, 这 两 种 酒 总 称 为 药 性 酒 药 性 酒 是 以 中 药 为 主 药 的 药 用 酒,

More information

*33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! % "" " % 1 % & ( * ) * % " " %.! % 2!!"+# ( "&! " ( "#

*33*!!! !! #$! %#! & ! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! %   % 1 % & ( * ) * %   %.! % 2!!+# ( &!  ( # 588!"! #$$%& &&#! ()! *(+ "! *(, "! (-.! *(/ "! (.! ().! (01! /0! *(. # 2(.! *2. $ *20 3 $! *( % ) % *+ " % * 4 5 6 % - % 0. % 7. *33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +#

More information

列 出 所 有 的 非 負 整 數 解, 係 數 越 大 者 越 先 決 定, 故 先 決 定 z, 再 決 定 y, 最 後 決 定 x, 故 有 + 6 + = 8 ( 種 ) x 0 0 6 8 0 0 6 8 0 6 8 0 y 0 5 0 0 9 8 7 6 5 0 z 0 0 0 0 0

列 出 所 有 的 非 負 整 數 解, 係 數 越 大 者 越 先 決 定, 故 先 決 定 z, 再 決 定 y, 最 後 決 定 x, 故 有 + 6 + = 8 ( 種 ) x 0 0 6 8 0 0 6 8 0 6 8 0 y 0 5 0 0 9 8 7 6 5 0 z 0 0 0 0 0 - 乘 法 原 理 基 礎 型. 從 甲 地 至 乙 地 有 5 條 路 可 走, 由 乙 地 至 丙 地 有 條 路 可 走, 由 丙 地 至 丁 地 有 條 路 可 走, 試 問 從 甲 地 經 乙 丙 兩 地 至 丁 地 的 走 法 有 幾 種? 答 60 解 由 乘 法 原 理 知, 有 5 = 60 ( 種 ). 書 店 的 書 架 上 有 種 不 同 的 英 文 書 和 5 種 不 同

More information

三維空間之機械手臂虛擬實境模擬

三維空間之機械手臂虛擬實境模擬 VRML Model of 3-D Robot Arm VRML Model of 3-D Robot Arm MATLAB VRML MATLAB Simulink i MATLAB Simulink V-Realm Build Joystick ii Abstract The major purpose of this thesis presents the procedure of VRML

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

Microsoft PowerPoint - chap02.ppt

Microsoft PowerPoint - chap02.ppt 第 2 章 HDL 入门指南 西安交大电信学院微电子学系程军 jcheng@mail.xjtu.edu.cn module- 模块 Verilog 描述的基本单位 用于描述电路的功能 结构及与其他 module 的通信端口 一个 module 表示一个设计, 其描述方式包括 : 数据流方式 连续赋值语句 行为方式 过程语句 结构方式 其他 module 和开关级原语 (primitive) 门级原语及用户定义的原语

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2 Chapter 02 變數與運算式 2.1 2.1.1 2.1.2 2.1.3 2.1.4 2.2 2.2.1 2.2.2 2.2.3 type 2.2.4 2.3 2.3.1 print 2.3.2 input 2.4 2.4.1 2.4.2 2.4.3 2.4.4 2.4.5 + 2.4.6 Python Python 2.1 2.1.1 a p p l e b e a r c 65438790

More information

A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1

A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1 2013 年 中 级 会 计 职 称 考 试 中 级 会 计 实 务 真 题 及 答 案 解 析 一 单 项 选 择 题 ( 本 类 题 共 15 小 题, 每 小 题 1 分, 共 15 分 每 小 题 只 有 一 个 符 合 题 意 的 正 确 答 案 请 将 选 定 的 答 案, 按 答 题 卡 要 求, 用 2B 铅 笔 填 涂 答 题 卡 中 相 应 信 息 点 多 选 错 选 不 选 均

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

山东建筑大学学分制管理规定(试行)

山东建筑大学学分制管理规定(试行) 山 建 大 校 字 2015 67 号 山 东 建 筑 大 学 关 于 印 发 学 分 制 管 理 规 定 ( 试 行 ) 的 通 知 各 院 部 校 直 各 部 门 : 山 东 建 筑 大 学 学 分 制 管 理 规 定 ( 试 行 ) 已 经 学 校 研 究 同 意, 现 印 发 给 你 们, 请 认 真 遵 照 执 行 山 东 建 筑 大 学 2015 年 8 月 7 日 1 山 东 建 筑

More information

2 A

2 A 1 2 A 3 AB 8 11 12 13 14 15 16 4 5 6 21 200 (l)20 (2)15 (3)10 7 8 9 10 11 11 12 14 15 12 13 14 15 16 17 18 19 20 21 17 18 203500 1500 500 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42

More information

VN-Cover

VN-Cover IP Verification 國立中山大學資訊工程學系 黃英哲 nlint - Rule Checker Course Objects Rule Definition nlint Utilizing 中山大學資工系黃英哲 3 Rule Definition Rule Group Coding style Language Construct Design style DFT Simulation

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

教 案 ( 首 页 ) 课 课 编 号 410105 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教

教 案 ( 首 页 ) 课 课 编 号 410105 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教 结 构 力 学 教 案 主 讲 教 师 : 曹 志 翔 2010 年 8 月 教 案 ( 首 页 ) 课 课 编 号 410105 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教 授 对 象 专 业 班

More information

记 忆 155 期 北 京 大 学 文 革 专 辑 (9) 目 录 专 稿 章 铎 从 高 云 鹏 的 遭 遇, 看 迟 群 之 流 的 专 制 附 : 高 云 鹏 给 胡 宗 式 章 铎 的 信 (2015 年 11 月 19 日 ) 评 论 马 云 龙 王 复 兴 抢 救 记 忆 : 一 个 北

记 忆 155 期 北 京 大 学 文 革 专 辑 (9) 目 录 专 稿 章 铎 从 高 云 鹏 的 遭 遇, 看 迟 群 之 流 的 专 制 附 : 高 云 鹏 给 胡 宗 式 章 铎 的 信 (2015 年 11 月 19 日 ) 评 论 马 云 龙 王 复 兴 抢 救 记 忆 : 一 个 北 记 忆 155 期 北 京 大 学 文 革 专 辑 (9) 目 录 专 稿 章 铎 从 高 云 鹏 的 遭 遇, 看 迟 群 之 流 的 专 制 附 : 高 云 鹏 给 胡 宗 式 章 铎 的 信 (2015 年 11 月 19 日 ) 评 论 马 云 龙 王 复 兴 抢 救 记 忆 : 一 个 北 大 学 生 的 文 革 回 忆 录 序 扬 子 浪 洗 涤 我 们 被 污 损 的 灵 魂 读 抢

More information

硕士论文正文

硕士论文正文 1905 1945...3...4...11...23...41...54...66...73 1945 1949...81...117...127...132...176...184 1905 1945 1905 1910 1920 1922 1941 1945 1920 1944 1915 1939 25 1922 1933 40 1939 30 30 20 1932 30 1944 1945

More information

1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 2 1 3 4 1 9 17 25 3 11 19 27 5 13 21 29 7 15 23 31 2 10 18 26 3 11 19 27 6 14 22 30 7 15 23 31 4 12 20 28 5 13 21 29 6 14 22 30 7 15 23 31 8 12 24 28

More information

不 会 忘 记, 历 史 不 会 忘 记, 当 一 个 古 老 神 州 正 以 崭 新 的 姿 态 昂 首 屹 立 于 世 界 东 方 的 时 候, 当 世 界 把 延 伸 的 广 角 镜 瞄 准 这 片 神 奇 土 地 的 时 候, 中 国 人 民 已 深 深 感 到, 现 在 所 拥 有 的,

不 会 忘 记, 历 史 不 会 忘 记, 当 一 个 古 老 神 州 正 以 崭 新 的 姿 态 昂 首 屹 立 于 世 界 东 方 的 时 候, 当 世 界 把 延 伸 的 广 角 镜 瞄 准 这 片 神 奇 土 地 的 时 候, 中 国 人 民 已 深 深 感 到, 现 在 所 拥 有 的, 共 和 国 十 大 将 军 传 粟 裕 中 国 十 大 将 军 01 粟 裕 前 言 任 何 一 场 伟 大 的 革 命, 无 一 不 是 波 澜 壮 阔, 大 潮 叠 起 伴 随 和 影 响 着 这 些 革 命 的, 是 一 代 又 一 代 伟 大 的 革 命 家 对 于 二 十 世 纪 整 个 中 华 民 族 来 说, 革 命 一 词 总 是 蕴 涵 着 正 义 和 进 步, 圣 洁 和 光 荣

More information

第一章

第一章 台南市立大內國民中學母語教學檔案 兒童文學 台灣兒童歌謠 壹 前言 我國的童謠 始於列子之康衢之謠 堯乃微服遊於康衢 兒童曰 立我烝民 莫匪爾極 不識不知 順序之則 後漢書 獻帝初 京師童謠曰 千里草 何青青 十日卜 不得生 前者歌頌帝堯德政 之廣被 後者諷刺董卓之虐政 人民將身受其殃 皆係含有政治作用的 歌謠 今日所說童謠 爾雅曾說 徒歌謂之謠 所謂徒歌即是隨口吟 唱 不加伴奏 也無固定旋律 隨興之所至啍唱

More information

标题

标题 三 风 云 人 物 27 三 风 云 人 物 武 进 人 杰 地 灵, 历 代 名 人 辈 出 千 年 悠 悠, 无 数 志 士 仁 人 名 贤 巨 贾 荟 萃 于 此, 给 这 片 土 地 带 来 了 富 庶 和 文 明, 留 下 了 数 不 尽 的 动 人 故 事, 在 武 进 历 史 的 演 进 中 刻 上 深 深 的 烙 印 1 延 陵 君 子 季 札 春 秋 末 期 的 季 札 被 武 进

More information

Microsoft Word - media-tips-zh.doc

Microsoft Word - media-tips-zh.doc 媒 摘 体 自 采 粮 访 该 做 和 不 农 该 组 做 织 的 媒 事 体 情 关 系 处 编 制 的 一 份 该 做 和 不 该 做 的 事 情 清 单 积 简 单 极 地 主 回 动 当 媒 体 打 来 应 电 质 话 询 并 不 确 保 每 次 都 能 传 递 你 的 关 键 信 息 通 过 下 列 问 是 您 题 哪 贵 个 确 保 单 姓? 你 位 的 能? 完 全 明 白 你 在 同

More information

,,,,,,,,,,,,, 1 ,,,,,,,,,,,, ;,,,,,,,,,,,,,,!,,, 2 ,,,,,,,,,,,!, 1 ,,,,,,,,,,,?, :,,,,,,,,,,,,,,,, :,,, 2 ,,,,,,,,, :,, :,,,,,,,,,,!,,,,,,,,,,,,,,, 3 ,,,?,,,,,,,,,, :,!,,,,,,,,,,,,,,,,,,, 4 ,,,,,,, Q,,,,,,,,,

More information

第六篇守势

第六篇守势 克 劳 塞 维 慈 战 争 论 纲 要 1/31 第 六 篇 守 势 第 六 篇 守 势 守 势 的 本 质 1 守 势 的 概 念 2 守 势 的 价 值 3 守 势 价 值 的 变 迁 4 守 势 所 附 带 的 一 般 性 质 战 略 守 势 (A) 守 势 会 战 1 战 略 守 势 的 性 质 2 战 略 守 势 的 特 别 手 段 3 守 势 的 抵 抗 种 类 a 要 塞 b 防 御

More information

1152 1221 1195 1217 12 1 5 9 5000 90 2 10 6 1226 1255 1260 5 1301 1273 1275 1281 1956 1303 1358 1333 1352 13 43 3 20 2 6 3 7 10 1349 11 1522 1508 1522 30 1601 1645 1628 9 20 1655 1644 800 1652 3 5 5 7

More information

!"# $ %& (!") *+$, %-%-.! $, ,-,- /0 12$.!3,"+$&4,-$ 5&3$ 5) 67$, $") 89" .!2 " " " " $") $4 # $ # # % :&;$

More information

第 二 章 鉴 证 业 务 的 定 义 和 目 标 第 五 条 鉴 证 业 务 是 指 注 册 会 计 师 对 鉴 证 对 象 信 息 提 出 结 论, 以 增 强 除 责 任 方 之 外 的 预 期 使 用 者 对 鉴 证 对 象 信 息 信 任 程 度 的 业 务 鉴 证 对 象 信 息 是 按

第 二 章 鉴 证 业 务 的 定 义 和 目 标 第 五 条 鉴 证 业 务 是 指 注 册 会 计 师 对 鉴 证 对 象 信 息 提 出 结 论, 以 增 强 除 责 任 方 之 外 的 预 期 使 用 者 对 鉴 证 对 象 信 息 信 任 程 度 的 业 务 鉴 证 对 象 信 息 是 按 中 国 注 册 会 计 师 鉴 证 业 务 基 本 准 则 第 一 章 总 则 第 一 条 为 了 规 范 注 册 会 计 师 执 行 鉴 证 业 务, 明 确 鉴 证 业 务 的 目 标 和 要 素, 确 定 中 国 注 册 会 计 师 审 计 准 则 中 国 注 册 会 计 师 审 阅 准 则 中 国 注 册 会 计 师 其 他 鉴 证 业 务 准 则 ( 分 别 简 称 审 计 准 则 审 阅

More information

A 单 位 负 责 人 B 会 计 机 构 负 责 人 C 会 计 主 管 人 员 D 会 计 人 员 多 选 题 : 1. 单 位 伪 造 变 造 会 计 凭 证 会 计 账 簿, 编 制 虚 假 财 务 会 计 报 告 的, 县 级 以 上 人 民 政 府 财 政 部 可 以 依 法 行 使 的

A 单 位 负 责 人 B 会 计 机 构 负 责 人 C 会 计 主 管 人 员 D 会 计 人 员 多 选 题 : 1. 单 位 伪 造 变 造 会 计 凭 证 会 计 账 簿, 编 制 虚 假 财 务 会 计 报 告 的, 县 级 以 上 人 民 政 府 财 政 部 可 以 依 法 行 使 的 (6.13 考 生 原 题 ) 原 始 资 料 请 勿 外 泄, 违 者 必 究! 单 选 题 : 1. 下 列 税 种 中, 不 属 于 行 为 税 的 是 ( ) A 车 船 使 用 牌 照 税 B 耕 地 占 用 税 C 城 市 维 护 建 设 税 D 车 辆 购 置 税 2. 根 据 人 民 币 银 行 结 算 账 户 管 理 办 法 的 规 定, 非 经 营 性 的 存 款 人 违 反 规

More information

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Announcement...P2 I. Introduction.....P3 II. Problem Statement..P3

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 幼 兒 組 指 定 曲 歌 詞 1. 兩 隻 老 虎 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 耳 朵 一 隻 沒 有 尾 巴 真 奇 怪 真 奇 怪 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 鼻 子 一 隻 沒 有 頭 髮 真 奇 怪 真 奇 怪 2. 小 毛 驢 我 有 一 頭 ( 隻 ) 小 毛 驢 我 從 來 也 不 騎 有 一 天

More information

ebook121-1

ebook121-1 1 10, 10 O I A B C Z 26 B A D G How are you? 131 morse code A 2 6 Z How are you 32 131 d o t d a s h 2 c o d e ( ) B r a i l l e 1 3 A 3 1 3 A A 1 3 h e l l o 2 3 6 hi there E T Q Z 10 S O S S O S B A

More information

Ps22Pdf

Ps22Pdf : : : / : ISBN 7-5617 - 2033-8 / K 116 : 5. 00 : 2005 7 1 CIP ( 2005) 109076 , 123, 1976 10 6, 10 9 1015,,,,, : ; 2 3,, 3 10 15 17 1 16 1, 4,, 17 18,,,, 23, 3, 7 19 3 4 6 4. 5 20, 23, 24 1900, 3000 770.,

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

untitled

untitled ...2...3...4...6...6...8...11...14...18...19...20...22...22...23...25...26...27...28...29...29...29 4-1 - ( ) 88 37 88 37 200121 2003 4 18 [2003]48 1.5 4-2 - ( ) 25 1 1 100032 2004 09 17 [1998]12 ( ) (

More information

JT 00 00 ( a +) ( a ) a + ( 0 ) a 0 a ( 0 ) a a ( 0 ) a a ( 0 ) a a ( 0 ) a b log a b log a log a b log a log a b log a log a b log a log a d b b b b

JT 00 00 ( a +) ( a ) a + ( 0 ) a 0 a ( 0 ) a a ( 0 ) a a ( 0 ) a a ( 0 ) a b log a b log a log a b log a log a b log a log a b log a log a d b b b b JT 00 00 00 ( 6 ) ( 0 ) 6. 0 00 () 70 () 7 () 80 () 8 () 90 0 00 E() 0 00 70 (). ( ) ( +) ( ) ( ) () ( +) () ( ) () ( )(+) () ( ) ( +) () ( )( +) ( ) ( +) ( ) ( ) ( ) [( ) ]( ) [( ) ]( ) ( )[ ( ) ( )]

More information

<4D6963726F736F667420576F7264202D20313034B0EABB79A4E5B8D5C344BBBCB065AAA9>

<4D6963726F736F667420576F7264202D20313034B0EABB79A4E5B8D5C344BBBCB065AAA9> 嘉 義 縣 104 年 新 港 溪 北 六 興 宮 正 黑 麵 三 媽 盃 小 六 學 藝 競 試 國 文 試 卷 一 一 般 選 擇 題 : 1. 下 列 選 項 中, 哪 一 組 字 的 讀 音 是 相 同 的?(A) 躡 足 / 攝 影 (B) 淒 慘 / 妻 兒 (C) 漠 不 關 心 / 眼 角 膜 (D) 韋 編 / 偉 人 2. 下 列 內 的 部 首, 何 者 正 確?(A) 黎 明

More information

凡 例 一 高 淳 县 历 史 悠 久, 文 物 古 迹 颇 丰, 为 全 面 系 统 地 保 存 各 类 文 物 资 料, 介 绍 文 物 工 作 情 况, 达 到 教 育 后 人, 提 供 专 业 研 究 的 目 的, 特 编 纂 本 志 二 本 志 采 用 记 志 述 图 表 等 多 种 体 裁, 翔 实 记 载 高 淳 县 自 旧 石 器 时 代 至 民 国 年 间 的 文 化 遗 存 文

More information

康體藝術

康體藝術 320 321 0.12% (340 ) 3.44% (1.001 ) 0.30% (860 ) 5.93% (7.542 ) 7.83% (2.277 ) ( 7,960 1,810 ) 3.36% (9,770 ) 9.08% (2.642 ) 20.27% (5.898 ) ( ) 29.67% (8.63 ) 322 π 323 324 325 326 327 328 329 330 331

More information

2 621 1991 2 1.41.5 1982 47 43 50 1989 1991 1 [] 220 1979 10 110 83 53 34 29 29 25 19 18 14 1989 1981 5 1981 2 1981 4 130 1989 100 12 10 2030 15 1978 4 19 1972 13 1985 [] 1978 [] 1989 [] 4 1987

More information

HSK(基础)样题

HSK(基础)样题 HSK( 基 础 ) 样 题 试 卷 注 意 事 项 1. 基 础 汉 语 水 平 考 试 HSK( 基 础 ) 包 括 三 项 内 容 : (1) 听 力 理 解 (50 题, 约 35 分 钟 ) (2) 语 法 结 构 (40 题,40 分 钟 ) (3) 阅 读 理 解 (50 题,60 分 钟 ) 全 部 考 试 时 间 约 需 135 分 钟 2. 答 案 必 须 写 在 答 卷 上,

More information

山东2014第四季新教材《会计基础》冲刺卷第二套

山东2014第四季新教材《会计基础》冲刺卷第二套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 2 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

Microsoft Word - 09.數學136-281.docx

Microsoft Word - 09.數學136-281.docx 136. 計 算 梯 型 面 積 (1 分 ) 請 以 JAVA 運 算 式 計 算 下 面 梯 形 面 積, 並 輸 出 面 積 結 果 梯 形 面 積 公 式 為 :( 上 底 + 下 底 ) 高 2 每 一 組 依 序 分 別 輸 入 梯 形 的 上 底 下 底 及 高 的 整 數 輸 出 梯 形 面 積 輸 入 輸 出 94 190 120 99 54 47 137. 計 算 三 角 形 面

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

2013 年 大 陸 書 市 觀 察 2013 年, 新 笑 傲 江 湖 也 引 起 熱 烈 討 論, 首 播 當 晚 已 居 黃 金 檔 電 視 劇 收 視 冠 軍, 進 而 帶 動 了 該 作 品 的 圖 書 銷 量, 之 後 隋 唐 英 雄 傳 精 忠 嶽 飛 等 也 紛 紛 改 編 成 電

2013 年 大 陸 書 市 觀 察 2013 年, 新 笑 傲 江 湖 也 引 起 熱 烈 討 論, 首 播 當 晚 已 居 黃 金 檔 電 視 劇 收 視 冠 軍, 進 而 帶 動 了 該 作 品 的 圖 書 銷 量, 之 後 隋 唐 英 雄 傳 精 忠 嶽 飛 等 也 紛 紛 改 編 成 電 2013 年 大 陸 書 市 觀 察 閩 南 師 範 大 學 教 師 萬 麗 慧 2014 年 1 月 9 日 北 京 圖 書 訂 貨 會 上, 北 京 開 卷 公 司 發 佈 了 2013 年 中 國 圖 書 零 售 市 場 報 告 報 告 顯 示,2013 年 中 國 圖 書 零 售 市 場 同 比 增 長 -1.39%, 這 是 繼 2012 年 後 再 次 出 現 的 負 增 長, 且 負

More information

1 32 a + b a + b 2 2 a b a b 2 2 2 4a 12a + 9 a 6 2 4 a 12a + 9 a 6 ( 2a 3) 2 a 6 3 1 2 4 + 2 4 8 + 3 6 12 + 1 3 9 + 2 6 18+ 3 9 27 + 1 10 1 10 ax + by = 2 cx 7y = 8 1 2 1 4 1 8 1

More information

数量关系部分题目溯源:

数量关系部分题目溯源: ** 绝 密 ** 行 测 包 过 班 内 部 资 料 ( 含 详 解 ) 第 一 部 分 : 数 量 关 系 部 分 题 目 溯 源 : 1 33, 32, 34, 31, 35, 30, 36, 29,? A. 33 B. 37 C. 39 D. 41 选 B 解 答 : 交 叉 数 列 ( 即 隔 项 或 称 奇 偶 数 列 ) 分 项 后 为 等 差 数 列 源 自 : 国 考 2002 年

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

8

8 2013 年 08 月 资 产 配 置 报 告 动 态 希 腊 移 民 政 策 降 门 槛, 成 为 进 入 欧 盟 新 捷 径 农 业 银 行 私 人 银 行 部 资 产 配 置 策 略 报 告 课 题 组 相 关 事 件 为 缓 解 欧 债 危 机, 吸 引 国 外 投 资, 欧 洲 一 些 国 家 相 继 推 出 购 房 移 民 政 策 2013 年 4 月 9 日, 希 腊 议 会 批 准

More information

山东2014第四季新教材《会计基础》冲刺卷第三套

山东2014第四季新教材《会计基础》冲刺卷第三套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 3 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

cumcm0110.PDF

cumcm0110.PDF :,,, Matlab R = 29.9003 2.4% 400 Z 200 0 400 400 200 200 X 0 0 Y /20 µ m z z = 0 z = 99 2/20 3/20 Q Q ( x, y ) R z Z 0 0 0 0 O ( x, y, z ) z = x = φ ( t) y = ϕ ( t) ( 2 2 n n ) Q ( ) Q z n = { ϕ ϕ 2 φ

More information

!!" #" $" #%%& #%%& #

!! # $ #%%& #%%& # "!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " "!""#!""$!""!!""%!& %!!""!!!!""$ (! $ # ) (" (!!""$ " %# ( %* (! % % # ) & %# (!""$ #! %* ( # $!!""$ $ (! % $ # * %# ( $!""$ (! % $ # %# ( #!! % (! % # %# (!""$

More information

C 1 # include <stdio.h> 2 int main ( void ) { 4 int cases, i; 5 long long a, b; 6 scanf ("%d", & cases ); 7 for (i = 0;i < cases ;i ++) 8 { 9

C 1 # include <stdio.h> 2 int main ( void ) { 4 int cases, i; 5 long long a, b; 6 scanf (%d, & cases ); 7 for (i = 0;i < cases ;i ++) 8 { 9 201 201 21 ( ) 1. C pa.c, pb.c, 2. C++ pa.cpp, pb.cpp Compilation Error long long cin scanf Time Limit Exceeded 1: A 1 B 1 C 5 D RPG 10 E 10 F 1 G II 1 1 201 201 C 1 # include 2 int main ( void

More information

<4D6963726F736F667420576F7264202D20C6C0BCB6B1A8B8E6B7E2C3E6A3A8C8F0B0B2B9FACDB6B8FAD7D9A3A9>

<4D6963726F736F667420576F7264202D20C6C0BCB6B1A8B8E6B7E2C3E6A3A8C8F0B0B2B9FACDB6B8FAD7D9A3A9> 2016 年 公 司 债 券 2016 年 跟 踪 信 用 评 级 报 告 温 州 交 投 经 营 状 况 平 稳, 其 提 供 的 全 额 无 条 件 不 可 撤 销 的 连 带 责 任 保 证 担 保 仍 可 提 升 本 期 债 券 的 安 全 性 关 注 : 公 司 主 要 在 建 项 目 资 金 需 求 量 较 大, 面 临 较 大 的 资 金 压 力 截 至 2015 年 末, 公 司

More information

B. 高 估 自 己 C. 低 估 自 己 D. 发 掘 特 长 解 析 : 自 知, 就 是 认 识 自 己 ; 自 己 明 了 ; 或 自 然 知 晓 自 己 有 什 么 特 点, 优 势 劣 势, 自 己 都 很 清 楚 BC 说 法 都 不 对,D 说 法 不 符 合 题 意, 所 以 选

B. 高 估 自 己 C. 低 估 自 己 D. 发 掘 特 长 解 析 : 自 知, 就 是 认 识 自 己 ; 自 己 明 了 ; 或 自 然 知 晓 自 己 有 什 么 特 点, 优 势 劣 势, 自 己 都 很 清 楚 BC 说 法 都 不 对,D 说 法 不 符 合 题 意, 所 以 选 2013 年 江 苏 省 宿 迁 市 中 考 政 治 试 题 第 Ⅰ 卷 ( 选 择 题, 共 48 分 ) 第 Ⅰ 卷 共 33 题, 其 中 第 1~18 题 为 政 治 部 分 每 小 题 1 分 ; 第 19~33 题 为 历 史 部 分, 每 小 题 2 分 每 小 题 的 四 个 选 项 中, 只 有 一 个 选 项 最 符 台 题 意 1. 十 八 大 报 告 中, 成 为 中 国 特

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

ebook14-4

ebook14-4 4 TINY LL(1) First F o l l o w t o p - d o w n 3 3. 3 backtracking parser predictive parser recursive-descent parsing L L ( 1 ) LL(1) parsing L L ( 1 ) L L ( 1 ) 1 L 2 L 1 L L ( k ) k L L ( 1 ) F i r s

More information

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 年 教 学 工 作 安 排 2015 2016 学 年 第 二 学 期 教 学 工 作 计 划 二 O 一 六 年 三 月 十 日 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调

More information

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63> 一 九 八 八 年 创 刊 回 忆 与 研 究 第 十 六 辑 上 海 市 新 四 军 历 史 研 究 会 浙 东 浙 南 分 会 编 二 O 一 三 年 十 二 月 1 ( 封 面 折 页 字 ) 编 委 会 顾 问 丁 公 量 丁 柯 吴 文 达 汪 志 荣 戚 南 强 李 国 经 主 编 陈 晓 光 副 主 编 葛 奇 忠 方 思 朋 编 委 ( 按 姓 氏 笔 画 为 序 ) 方 思 朋 王

More information

萧山中学课程建设方案.doc

萧山中学课程建设方案.doc - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - 2 2 4 4 4 2 2 4 4 4 4 4 5 5 4 4 4 4 5 5 4 4 4 4 5 5 3 3 3 3 4(2) 4(2) 4(2) 4(2) 4 4 4 4 3 3 3 3 3 3 4 4 4 4 4(2) 4(2) 4(2) 4(2) 2 2 2 2 4 4 4 4 2 2 2 2 2

More information

( ) 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 121.2 117.5 125.8 122.0 130.9 126.8 135.4 131.5 140.3 136.0 144.9 140.2 153.5 147.9 160.6 154.7 165.1 160.3 167.7 163.9 169.3 165.8 169.6 166.7 170.0 167.8

More information

Microsoft Word - 9pinggb_A4.doc

Microsoft Word - 9pinggb_A4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 3 九 评 之 一 评 共 产 党 是 什 么... 4 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information