52C

Size: px
Start display at page:

Download "52C-14266-5"

Transcription

1 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 15

2 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状 态 数 据 和 定 时 数 据 8 同 时 采 集 状 态 数 据 和 定 时 数 据 8 实 时 采 集 存 储 器 9 模 数 集 成 调 试 工 具 11 逻 辑 分 析 仪 测 量 实 例 进 行 通 用 定 时 测 量 16 检 测 和 显 示 间 歇 性 毛 刺 17 捕 获 建 立 时 间 和 保 持 时 间 违 规 18 使 用 跳 变 存 储 最 大 限 度 地 提 高 可 用 记 录 长 度 19 逻 辑 分 析 仪 应 用 实 例 FPGA 20 存 储 器 23 信 号 完 整 性 23 串 行 数 据 24 小 结 26 术 语 表 分 析 和 显 示 结 果 12 波 形 显 示 12 列 表 显 示 12 自 动 测 量 13 性 能 指 标 和 考 虑 因 素 定 时 采 集 速 率 14 状 态 采 集 速 率 14 MagniVu 采 集 速 率 14 记 录 长 度 14 通 道 数 量 和 模 块 化 15 触 发 15 探 测

3 引 言 与 许 多 电 子 测 试 和 测 量 工 具 一 样, 逻 辑 分 析 仪 是 一 种 针 对 特 定 类 型 问 题 的 解 决 方 案 它 是 一 种 通 用 工 具, 可 以 帮 助 您 调 试 数 字 硬 件 检 验 设 计 和 调 试 嵌 入 式 软 件 对 设 计 数 字 电 路 的 工 程 师 来 说, 逻 辑 分 析 仪 是 一 种 不 可 或 缺 的 工 具 逻 辑 分 析 仪 用 于 涉 及 大 量 信 号 或 挑 战 性 触 发 要 求 的 数 字 测 量 我 们 将 首 先 考 察 数 字 示 波 器 及 逻 辑 分 析 仪 的 演 进 然 后, 我 们 将 介 绍 基 本 逻 辑 分 析 仪 的 构 成 要 素 在 有 了 这 些 基 础 知 识 后, 我 们 将 介 绍 逻 辑 分 析 仪 有 哪 些 重 要 功 能, 及 其 为 什 么 在 为 特 定 应 用 选 择 适 当 工 具 时 发 挥 重 要 作 用 起 源 逻 辑 分 析 仪 几 乎 是 与 最 早 上 市 的 商 用 微 处 理 器 同 时 演 进 的 基 于 这 些 新 器 件 设 计 系 统 的 工 程 师 们 很 快 发 现, 调 试 微 处 理 器 设 计 要 求 的 输 入 数 量 超 出 了 示 波 器 能 够 提 供 的 数 量 逻 辑 分 析 仪 拥 有 多 个 输 入, 解 决 了 这 个 问 题 这 些 仪 器 的 采 集 速 率 和 通 道 数 量 稳 步 提 高, 以 跟 上 数 字 技 术 的 快 速 发 展 步 伐 逻 辑 分 析 仪 是 数 字 系 统 开 发 的 关 键 工 具 示 波 器 和 逻 辑 分 析 仪 之 间 有 许 多 类 似 之 处 和 差 异 为 更 好 地 了 解 这 两 种 仪 器 怎 样 满 足 各 自 的 应 用 需 求, 有 必 要 比 较 一 下 它 们 的 功 能 图 1. 示 波 器 详 细 揭 示 信 号 幅 度 上 升 时 间 及 其 它 模 拟 特 点 数 字 示 波 器 数 字 示 波 器 是 观 察 通 用 信 号 的 基 本 工 具 其 高 采 样 率 和 带 宽, 使 其 能 够 捕 获 一 个 时 间 跨 度 中 的 许 多 数 据 点, 可 以 测 量 信 号 跳 变 ( 边 沿 ) 瞬 态 事 件 及 小 的 时 间 增 量 示 波 器 当 然 也 能 像 逻 辑 分 析 仪 一 样 查 看 数 字 信 号, 但 大 多 数 示 波 器 用 户 主 要 考 察 模 拟 指 标, 如 上 升 时 间 和 下 降 时 间 峰 值 幅 度 及 边 沿 间 的 时 间 看 一 下 图 1 中 的 波 形, 可 以 看 出 示 波 器 的 优 势 尽 管 这 个 波 形 是 从 数 字 电 路 中 获 得 的, 但 它 揭 示 了 信 号 的 模 拟 特 点, 所 有 这 些 特 点 都 影 响 信 号 执 行 功 能 的 能 力 这 里, 示 波 器 捕 获 了 详 细 的 信 息, 揭 示 了 振 铃 过 冲 上 升 沿 滚 降 及 定 期 出 现 的 其 它 异 常 事 件 3

4 入 门 手 册 什 么 时 候 应 该 使 用 示 波 器? 如 果 需 要 一 次 测 量 许 多 信 号 的 模 拟 特 点, 那 么 数 字 示 波 器 是 最 高 效 的 解 决 方 案 在 您 需 要 了 解 特 定 的 信 号 幅 度 功 率 电 流 或 相 位 值 或 上 升 时 间 等 边 沿 指 标 时, 应 选 择 使 用 示 波 器 在 下 述 情 况 下 使 用 数 字 示 波 器 : 在 检 验 模 拟 器 件 和 数 字 器 件 期 间, 检 定 信 号 完 整 性 ( 如 上 升 时 间 过 冲 和 振 铃 ) 一 次 在 最 多 四 个 信 号 上 检 定 信 号 稳 定 性 ( 如 抖 动 和 抖 动 频 谱 ) 测 量 信 号 边 沿 和 电 压, 评 估 定 时 余 量, 如 建 立 时 间 / 保 持 时 间 传 播 延 迟 检 测 瞬 态 问 题, 如 毛 刺 欠 幅 脉 冲 亚 稳 定 跳 变 一 次 在 多 个 信 号 上 测 量 幅 度 和 定 时 参 数 什 么 时 候 应 该 使 用 逻 辑 分 析 仪? 逻 辑 分 析 仪 特 别 适 合 检 验 和 调 试 数 字 设 计 逻 辑 分 析 仪 检 验 数 字 电 路 正 常 工 作, 帮 助 您 调 试 出 现 的 问 题 逻 辑 分 析 仪 一 次 捕 获 和 显 示 多 个 信 号, 分 析 信 号 之 间 的 定 时 关 系 为 调 试 难 检 的 间 歇 性 问 题, 某 些 逻 辑 分 析 仪 可 以 检 测 毛 刺 及 建 立 时 间 和 保 持 时 间 违 规 在 软 件 / 硬 件 集 成 过 程 中, 逻 辑 分 析 仪 追 踪 嵌 入 式 软 件 的 执 行 情 况, 分 析 程 序 的 执 行 效 率 某 些 逻 辑 分 析 仪 把 源 代 码 与 设 计 中 的 特 定 硬 件 活 动 关 联 起 来 在 下 述 情 况 下 使 用 逻 辑 分 析 仪 : 需 要 调 试 和 检 验 数 字 系 统 操 作 时 需 要 同 时 追 踪 和 关 联 多 个 数 字 信 号 时 需 要 检 测 和 分 析 总 线 上 的 定 时 违 规 和 瞬 态 事 件 时 需 要 追 踪 嵌 入 式 软 件 执 行 情 况 时 借 助 示 波 器 的 内 置 工 具, 如 光 标 和 自 动 测 量, 可 以 简 便 地 追 踪 可 能 影 响 设 计 的 信 号 完 整 性 问 题 此 外, 定 时 测 量 如 传 播 延 迟 和 建 立 时 间 和 保 持 时 间 都 首 选 使 用 示 波 器 当 然, 有 许 多 纯 模 拟 信 号, 麦 克 风 或 数 模 转 换 器 输 出, 必 须 使 用 记 录 模 拟 细 节 的 仪 器 查 看 这 些 信 号 示 波 器 一 般 有 最 多 四 条 输 入 通 道 在 您 需 要 同 时 测 量 五 个 数 字 信 号, 或 需 要 测 量 采 用 32 位 数 据 总 线 和 64 位 地 址 总 线 的 数 字 系 统 时, 会 发 生 什 么 情 况 呢? 这 就 需 要 一 种 拥 有 多 得 多 输 入 的 工 具 - 逻 辑 分 析 仪 逻 辑 分 析 仪 逻 辑 分 析 仪 拥 有 与 示 波 器 不 同 的 功 能 这 两 种 仪 器 之 间 最 明 显 的 差 异 是 通 道 ( 输 入 ) 数 量 普 通 数 字 示 波 器 拥 有 最 多 四 个 信 号 输 入 逻 辑 分 析 仪 一 般 拥 有 条 通 道 每 条 通 道 输 入 一 个 数 字 信 号 某 些 复 杂 的 系 统 设 计 要 求 几 千 条 输 入 通 道 也 可 以 使 用 近 似 规 模 的 逻 辑 分 析 仪 完 成 这 些 任 务 4

5 逻 辑 分 析 仪 操 作 逻 辑 1 逻 辑 0 图 2. 逻 辑 分 析 仪 确 定 相 对 于 门 限 电 压 电 平 的 逻 辑 值 逻 辑 分 析 仪 连 接 采 集 和 分 析 数 字 信 号 使 用 逻 辑 分 析 仪 分 成 四 步, 如 图 3 所 示 1 连 接 2 设 置 3 采 集 4 分 析 逻 辑 分 析 仪 测 量 和 分 析 信 号 的 方 式 不 同 于 示 波 器 逻 辑 分 析 仪 不 测 量 模 拟 细 节, 而 是 检 测 逻 辑 门 限 电 平 在 把 逻 辑 分 析 仪 连 接 到 数 字 电 路 上 时, 您 只 关 心 信 号 的 逻 辑 状 态 逻 辑 分 析 仪 只 查 找 两 种 逻 辑 电 平, 如 图 2 所 示 在 输 入 高 于 门 限 电 压 (V) 时, 电 平 称 为 高 或 1 ; 相 反, 当 电 平 低 于 Vth 时, 则 称 为 低 或 0 在 逻 辑 分 析 仪 对 输 入 采 样 时, 它 根 据 相 对 于 电 压 门 限 的 信 号 电 平, 来 存 储 1 或 0 逻 辑 分 析 仪 的 波 形 定 时 显 示 与 产 品 技 术 资 料 或 仿 真 器 生 成 的 定 时 图 类 似 所 有 信 号 都 实 现 时 间 相 关, 因 此 可 以 查 看 建 立 时 间 和 保 持 时 间 脉 宽 外 来 数 据 或 丢 失 数 据 除 通 道 数 量 高 外, 逻 辑 分 析 仪 提 供 了 支 持 数 字 设 计 检 验 和 调 试 的 重 要 功 能, 包 括 : 完 善 的 触 发 功 能, 允 许 指 定 逻 辑 分 析 仪 在 什 么 条 件 下 采 集 数 据 高 密 度 探 头 和 适 配 器, 简 化 与 被 测 系 统 (SUT) 的 连 接 分 析 功 能, 把 捕 获 的 数 据 转 换 成 处 理 器 指 令, 把 其 与 源 代 码 关 联 起 来 第 1 步 第 2 步 第 3 步 第 4 步 连 接 设 置 采 集 分 析 图 3. 简 化 的 逻 辑 分 析 仪 操 作 连 接 被 测 系 统 探 头 逻 辑 分 析 仪 一 次 可 以 捕 获 大 量 的 信 号, 这 是 其 较 示 波 器 的 主 要 优 势 采 集 探 头 连 接 到 SUT 上 探 头 在 内 部 比 较 器 上, 把 输 入 电 压 与 门 限 电 压 (Vth) 进 行 比 较, 作 出 与 信 号 逻 辑 状 态 (1 或 0) 有 关 的 决 策 门 限 值 由 用 户 设 置, 范 围 为 TTL 电 平 到 CMOS ECL 和 用 户 自 定 义 门 限 5

6 入 门 手 册 实 际 上 升 时 间 观 察 到 的 上 升 时 间 ( 使 用 大 的 电 容 负 载 ) 图 7. 逻 辑 分 析 仪 探 头 阻 抗 可 能 会 影 响 信 号 上 升 时 间 和 测 量 定 时 关 系 图 4. 通 用 探 头 图 5. 高 密 度 多 通 道 逻 辑 分 析 仪 探 头 逻 辑 分 析 仪 探 头 分 成 许 多 物 理 形 式 : 通 用 探 头, 带 有 飞 线 束, 用 于 点 到 点 调 试, 如 图 4 高 密 度 多 通 道 探 头, 在 电 路 板 上 要 求 专 用 连 接 器, 如 图 5 探 头 能 够 采 集 高 质 量 信 号, 对 SUT 的 影 响 最 小 使 用 无 连 接 器 探 头 的 高 密 度 压 缩 探 头 的 连 接 方 式 如 图 6 所 示 这 种 探 头 建 议 用 于 要 求 更 高 信 号 密 度 或 无 连 接 器 探 头 连 接 机 制 的 应 用, 以 迅 速 可 靠 地 连 接 被 测 系 统 逻 辑 分 析 仪 探 头 阻 抗 ( 电 容 电 阻 和 电 感 ) 成 为 被 测 电 路 上 整 体 负 荷 的 一 部 分 所 有 探 头 都 表 现 出 负 荷 特 点 逻 辑 分 析 仪 探 头 给 SUT 引 入 的 负 荷 应 达 到 最 小, 并 为 逻 辑 分 析 仪 提 供 准 确 的 信 号 探 头 电 容 一 般 会 滚 降 信 号 跳 变 边 沿, 如 图 7 所 示 这 种 滚 降 会 降 慢 边 沿 跳 变, 下 降 的 时 间 用 t? 表 示, 如 图 7 所 示 为 什 么 电 容 这 么 重 要 呢? 因 为 边 沿 越 慢, 越 过 电 路 逻 辑 门 限 的 时 间 越 晚, 进 而 会 在 SUT 中 引 入 定 时 误 差 随 着 时 钟 速 率 提 高, 这 个 问 题 会 变 得 更 加 严 重 在 高 速 系 统 中, 探 头 电 容 过 高 可 能 会 使 SUT 不 能 运 行! 应 一 直 选 择 总 电 容 最 低 的 探 头 还 应 指 出 的 是, 探 头 夹 和 引 线 束 会 提 高 其 连 接 的 电 路 上 的 电 容 负 荷 应 尽 可 能 使 用 正 确 补 偿 的 适 配 器 图 6. D-Max TM 无 连 接 器 分 析 仪 探 头 6

7 设 置 逻 辑 分 析 仪 设 置 时 钟 模 式 时 钟 模 式 选 择 逻 辑 分 析 仪 是 为 从 多 引 脚 器 件 和 总 线 中 捕 获 数 据 设 计 的 捕 获 速 率 指 输 入 采 样 的 频 次, 其 功 能 与 示 波 器 中 的 时 基 相 同 注 意 在 描 述 逻 辑 分 析 仪 操 作 时, 采 样 采 集 和 捕 获 通 常 会 互 换 使 用 数 据 采 集 或 时 钟 模 式 分 成 两 类 : 定 时 采 集 模 式 捕 获 信 号 定 时 信 息 在 这 种 模 式 下, 使 用 逻 辑 分 析 仪 内 部 时 钟 对 数 据 采 样 数 据 采 样 速 度 越 快, 测 量 分 辨 率 越 高 目 标 设 备 和 逻 辑 分 析 仪 采 集 的 数 据 之 间 没 有 固 定 的 定 时 关 系 这 种 采 集 模 式 主 要 用 于 SUT 信 号 之 间 定 时 关 系 至 关 重 要 的 情 况 状 态 采 集 模 式 用 来 采 集 SUT 的 状 态 来 自 SUT 的 信 号 定 义 采 样 点 ( 采 集 数 据 的 时 间 和 频 次 ) 为 采 集 输 入 时 钟 使 用 的 信 号 可 以 是 系 统 时 钟 总 线 上 的 控 制 信 号 或 导 致 SUT 改 变 状 态 的 信 号 它 在 活 动 边 沿 上 采 样 数 据, 在 逻 辑 信 号 稳 定 时 表 示 SUT 的 情 况 逻 辑 分 析 仪 在 且 只 在 选 择 的 信 号 有 效 时 采 样 这 里 不 关 心 时 钟 事 件 之 间 发 生 的 情 况 怎 样 确 定 使 用 哪 类 采 集 呢? 这 要 看 您 怎 样 查 看 数 据 如 果 想 捕 获 一 长 串 定 时 细 节 记 录, 那 么 应 使 用 定 时 采 集 或 内 部 ( 或 异 步 ) 时 钟 您 也 可 能 想 在 SUT 看 到 时 采 集 数 据 在 这 种 情 况 下, 应 选 择 状 态 ( 同 步 ) 采 集 在 状 态 采 集 中, 将 在 列 表 窗 口 中 顺 序 显 示 SUT 的 每 个 连 续 状 态 状 态 采 集 使 用 的 外 部 时 钟 信 号 可 以 是 任 何 相 关 信 号 时 钟 模 式 设 置 技 巧 在 设 置 逻 辑 分 析 仪 采 集 数 据 时, 可 以 遵 循 一 些 通 用 的 指 导 准 则 : 1. 定 时 ( 异 步 ) 采 集 : 采 样 时 钟 速 率 在 确 定 采 集 分 辨 率 中 发 挥 着 重 要 作 用 任 何 测 量 的 定 时 精 度 总 是 一 个 采 样 间 隔 加 上 制 造 商 指 定 的 其 它 误 差 例 如, 在 采 样 时 钟 速 率 是 2 ns 时, 新 的 数 据 样 点 会 每 隔 2 ns 存 储 到 采 集 存 储 器 中 直 到 下 一 个 采 样 时 钟 时, 才 会 捕 获 该 采 样 时 钟 后 变 化 的 数 据 由 于 不 知 道 这 2 ns 中 数 据 变 化 的 确 切 时 间, 因 此 净 分 辨 率 是 2 ns 2. 状 态 ( 同 步 ) 采 集 : 在 采 集 状 态 信 息 时, 与 任 何 同 步 设 备 一 样, 逻 辑 分 析 仪 必 须 在 采 样 时 钟 前 和 采 样 时 钟 后, 在 输 入 上 存 在 稳 定 的 数 据, 以 保 证 捕 获 正 确 的 数 据 设 置 触 发 触 发 是 逻 辑 分 析 仪 区 别 于 示 波 器 的 另 一 种 功 能 示 波 器 有 触 发 功 能, 但 其 对 二 进 制 条 件 的 反 应 能 力 相 对 有 限 相 比 之 下, 逻 辑 分 析 仪 可 以 评 估 各 种 逻 辑 ( 布 尔 ) 条 件, 确 定 逻 辑 分 析 仪 什 么 时 候 触 发 触 发 的 目 的 是 选 择 逻 辑 分 析 仪 捕 获 哪 些 数 据 逻 辑 分 析 仪 可 以 追 踪 SUT 逻 辑 状 态, 在 SUT 中 发 生 用 户 自 定 义 事 件 时 触 发 在 讨 论 逻 辑 分 析 仪 时, 必 需 了 解 事 件 一 词 它 有 多 层 意 思 : 它 可 以 是 一 条 信 号 线 路 上 的 简 单 跳 变, 可 以 是 故 意 跳 变 或 无 意 跳 变 如 果 您 正 在 查 找 毛 刺, 那 么 这 是 感 兴 趣 的 事 件 事 件 可 以 是 特 定 信 号 ( 如 Increment 或 Enable) 变 得 有 效 的 时 间 事 件 还 可 以 是 整 个 总 线 中 多 个 信 号 跳 变 组 合 导 致 的 指 定 逻 辑 条 件 但 要 注 意, 在 所 有 情 况 下, 事 件 都 是 信 号 从 一 个 周 期 变 到 下 个 周 期 时 出 现 的 某 种 东 西 7

8 入 门 手 册 重 复 探 头 产 生 混 淆 单 个 探 头 非 常 简 单 状 态 探 头 定 时 / 状 态 探 头 定 时 探 头 图 8. 重 复 探 测 要 求 每 个 测 试 点 上 有 两 个 探 头, 降 低 了 测 量 质 量 图 9. 同 步 探 测 通 过 同 一 只 探 头 提 供 状 态 采 集 和 定 时 采 集, 实 现 了 更 简 单 更 干 净 的 测 量 环 境 可 以 使 用 许 多 条 件, 触 发 逻 辑 分 析 仪 例 如, 逻 辑 分 析 仪 可 以 识 别 总 线 或 计 数 器 输 出 上 的 特 定 二 进 制 值 其 它 触 发 选 择 包 括 : 字 : 用 二 进 制 十 六 进 制 等 定 义 的 特 定 逻 辑 码 型 范 围 : 在 低 值 和 高 值 之 间 发 生 的 多 个 事 件 计 数 器 : 计 数 器 追 踪 的 用 户 编 程 的 事 件 数 量 信 号 : 外 部 信 号, 如 系 统 复 位 毛 刺 : 采 集 之 间 发 生 的 脉 冲 定 时 器 : 定 时 器 追 踪 的 两 个 事 件 之 间 的 时 间 或 单 个 事 件 的 时 间 周 期 模 拟 : 使 用 示 波 器 触 发 模 拟 特 点, 交 叉 触 发 逻 辑 分 析 仪 在 提 供 所 有 这 些 触 发 条 件 时, 可 以 使 用 广 义 的 状 态 问 题 搜 索, 然 后 用 日 益 明 确 的 触 发 条 件 提 炼 搜 索, 追 踪 系 统 错 误 采 集 状 态 和 定 时 数 据 同 时 采 集 状 态 数 据 和 定 时 数 据 在 硬 件 和 软 件 调 试 ( 系 统 集 成 ) 过 程 中, 最 好 拥 有 相 关 的 状 态 和 定 时 信 息 问 题 开 始 时 可 能 会 被 检 测 为 总 线 上 的 无 效 状 态 这 可 能 是 由 建 立 时 间 和 保 持 时 间 违 规 等 问 题 引 起 的 如 果 逻 辑 分 析 仪 不 能 同 时 捕 获 定 时 数 据 和 状 态 数 据, 那 么 隔 离 问 题 将 变 得 很 难, 而 且 会 耗 费 很 长 时 间 某 些 逻 辑 分 析 仪 要 求 连 接 一 只 单 独 的 定 时 探 头, 来 采 集 定 时 信 息, 并 使 用 单 独 的 采 集 硬 件 这 些 仪 器 要 求 一 次 在 SUT 上 连 接 两 只 探 头, 如 图 8 所 示 一 只 探 头 把 SUT 连 接 到 定 时 模 块 上, 第 二 只 探 头 把 相 同 的 测 试 点 连 接 到 状 态 模 块 上 这 称 为 双 重 探 测 这 种 配 置 可 能 会 损 害 信 号 的 阻 抗 环 境 一 次 使 用 两 只 探 头 会 加 重 信 号 负 担, 劣 化 SUT 的 上 升 时 间 和 下 降 时 间 幅 度 和 噪 声 性 能 注 意 图 8 是 只 显 示 了 部 分 代 表 性 的 连 接 的 简 化 示 意 图 在 实 际 测 量 中, 可 能 会 连 接 四 条 八 条 或 更 多 的 多 导 线 电 缆 8

9 最 好 通 过 同 一 只 探 头 同 时 采 集 定 时 数 据 和 状 态 数 据, 如 图 9 所 示 一 条 连 接 一 个 设 置 和 一 个 采 集 同 时 提 供 定 时 和 状 态 数 据, 可 以 简 化 到 探 头 的 机 械 连 接, 减 少 问 题 在 同 时 采 集 定 时 和 状 态 时, 逻 辑 分 析 仪 会 捕 获 同 时 支 持 定 时 分 析 和 状 态 分 析 所 需 的 全 部 信 息, 而 不 需 要 第 二 步, 进 而 会 减 少 重 复 探 测 时 可 能 发 生 的 出 错 机 会 和 机 械 损 坏 一 只 探 头 对 电 路 的 影 响 较 低, 保 证 了 更 准 确 的 测 量 及 对 电 路 操 作 影 响 更 小 输 入 输 入 存 储 深 度 通 道 数 量 定 时 分 辨 率 越 高, 在 设 计 中 可 以 看 到 和 触 发 的 细 节 越 多, 找 到 问 题 的 机 会 也 就 越 大 实 时 采 集 存 储 器 逻 辑 分 析 仪 存 在 着 探 测 系 统 触 发 系 统 和 时 钟 系 统, 为 实 时 采 集 存 储 器 提 供 数 据 这 个 存 储 器 是 仪 器 的 核 心, 其 是 从 SUT 中 采 样 的 所 有 数 据 的 目 的 地, 也 是 所 有 仪 器 分 析 和 显 示 的 源 头 逻 辑 分 析 仪 拥 有 能 够 以 仪 器 采 样 率 存 储 数 据 的 存 储 器 这 个 存 储 器 可 以 视 为 一 个 拥 有 通 道 宽 度 和 存 储 深 度 的 矩 阵, 如 图 10 所 示 仪 器 会 累 积 所 有 信 号 活 动 的 记 录, 直 到 触 发 事 件 或 用 户 告 诉 仪 器 停 止 采 集 其 结 果 即 采 集, 从 本 质 上 看, 这 是 一 个 多 通 道 波 形 画 面, 允 许 您 以 非 常 高 的 定 时 精 度 查 看 已 经 采 集 的 所 有 信 号 的 交 互 情 况 通 道 数 量 和 存 储 深 度 是 选 择 逻 辑 分 析 仪 的 关 键 因 素 下 面 的 部 分 技 巧 可 以 帮 助 您 确 定 通 道 数 量 和 存 储 深 度 : 图 10. 逻 辑 分 析 仪 在 深 存 储 器 中 存 储 采 集 数 据, 每 个 数 字 输 入 都 有 一 条 全 长 通 道 提 供 支 持 您 需 要 捕 获 和 分 析 多 少 信 号? 逻 辑 分 析 仪 的 通 道 数 量 与 您 想 要 捕 获 信 号 数 量 直 接 相 关 数 字 系 统 总 线 分 成 各 种 宽 度, 在 监 测 整 个 总 线 的 同 时, 通 常 需 要 探 测 其 它 信 号 ( 时 钟 启 用 等 等 ) 一 定 要 考 虑 需 要 同 时 采 集 的 所 有 总 线 和 信 号 您 需 要 采 集 多 长 时 间? 这 决 定 着 逻 辑 分 析 仪 的 存 储 深 度 要 求, 对 定 时 采 集 尤 为 重 要 在 存 储 容 量 一 定 时, 采 集 总 时 间 随 着 采 样 率 提 高 而 下 降 例 如, 在 采 样 率 为 1 ms 时,1M 存 储 器 中 存 储 的 数 据 时 间 跨 度 是 1 秒 而 在 采 集 时 钟 周 期 为 10 ns 时, 同 样 1M 存 储 器 的 时 间 跨 度 仅 10 ms 采 集 的 样 点 ( 时 间 ) 越 多, 捕 获 错 误 及 导 致 错 误 的 问 题 的 机 会 越 大 ( 参 见 下 面 的 解 释 ) 9

10 入 门 手 册 逻 辑 分 析 仪 对 数 据 连 续 采 样, 填 充 实 时 采 集 存 储 器, 根 据 先 进 先 出 原 则 丢 弃 溢 出 的 数 据, 如 图 11 所 示 因 此, 一 直 有 实 时 数 据 流 经 存 储 器 在 触 发 事 件 发 生 时, 暂 停 流 程 会 开 始, 在 存 储 器 中 保 留 数 据 触 发 在 存 储 器 中 的 位 置 非 常 灵 活, 允 许 捕 获 和 考 察 触 发 事 件 前 触 发 事 件 后 和 触 发 事 件 周 围 发 生 的 事 件 这 是 一 种 重 要 的 调 试 功 能 如 果 触 发 征 兆 ( 通 常 是 某 类 错 误 ), 您 可 以 设 置 逻 辑 分 析 仪, 存 储 触 发 前 的 数 据 ( 触 发 前 数 据 ), 捕 获 导 致 征 兆 的 问 题 您 还 可 以 设 置 逻 辑 分 析 仪, 存 储 触 发 后 特 定 数 量 的 数 据 ( 触 发 后 数 据 ), 查 看 错 误 可 能 会 产 生 哪 些 后 果 还 有 其 它 触 发 位 置 组 合, 如 图 12 和 图 13 所 示 在 设 置 探 测 时 钟 和 触 发 后, 逻 辑 分 析 仪 准 备 运 行 其 结 果 是 装 满 数 据 的 实 时 采 集 存 储 器, 可 以 使 用 这 些 数 据, 通 过 多 种 不 同 方 式 分 析 SUT 中 的 行 为 逻 辑 分 析 仪 的 主 采 集 存 储 器 存 储 完 善 的 长 信 号 活 动 记 录 当 前 某 些 逻 辑 分 析 仪 可 以 以 几 千 兆 赫 的 速 率, 捕 获 几 百 条 通 道 中 的 数 据, 在 长 记 录 长 度 中 累 积 结 果 这 为 概 括 了 解 长 期 总 线 活 动 提 供 了 理 想 的 解 决 方 案 显 示 的 每 个 信 号 跳 变 被 理 解 为 发 生 在 活 动 时 钟 速 率 确 定 的 采 样 间 隔 内 的 某 个 地 方 捕 获 的 边 沿 可 能 发 生 在 前 一 次 采 样 后 几 皮 秒 内, 或 后 一 次 采 样 前 几 皮 秒 内, 或 之 间 的 任 何 地 方 因 此, 采 样 间 隔 决 定 着 仪 器 的 分 辨 率 不 断 演 进 的 高 速 计 算 总 线 和 通 信 设 备 正 在 推 动 着 对 逻 辑 分 析 仪 中 更 好 的 定 时 分 辨 率 的 需 求 泰 克 MagniVu TM 采 集 技 术 是 TLA 系 列 中 的 标 配 功 能, 可 以 解 决 这 个 挑 战 MagniVu 采 集 技 术 依 赖 高 速 缓 冲 存 储 器, 在 触 发 点 周 围 以 更 高 的 间 隔 捕 获 信 息 这 里, 在 存 储 器 填 充 时, 新 的 样 点 会 不 断 替 代 最 老 的 样 点 每 条 丢 弃 运 行 时 采 集 存 储 器 逻 辑 分 析 仪 还 没 有 触 发 ( 连 续 采 集 ) 图 11. 逻 辑 分 析 仪 根 据 先 进 先 出 的 原 则 捕 获 和 丢 弃 数 据, 直 到 发 生 触 发 事 件 丢 弃 触 发 运 行 时 采 集 存 储 器 触 发 位 于 存 储 器 中 间 ( 显 示 触 发 点 前 和 后 发 生 的 事 件 ) 图 12. 捕 获 触 发 周 围 的 数 据 : 触 发 点 左 面 的 数 据 是 触 发 前 数 据, 右 面 的 数 据 是 触 发 后 数 据 触 发 可 以 位 于 存 储 器 0% - 100% 的 任 何 位 置 触 发 触 发 后 数 据 运 行 时 采 集 存 储 器 触 发 被 延 迟 ( 等 待 计 数 或 时 间 停 止 采 集 ) 图 13. 捕 获 触 发 后 特 定 时 间 或 特 定 数 量 的 周 期 发 生 的 数 据 通 道 都 有 自 己 的 MagniVu 缓 冲 存 储 器 MagniVu 采 集 技 术 保 持 跳 变 和 事 件 动 态 的 高 分 辨 率 记 录, 而 使 用 主 存 储 器 采 集 底 层 的 分 辨 率 可 能 是 看 不 到 这 些 跳 变 和 事 件 的 10

11 图 14. MagniVu TM 采 集 显 示 时 钟 信 号 上 的 毛 刺 图 15. 异 常 事 件 的 时 间 相 关 模 拟 数 字 视 图 TLA 系 列 在 检 测 难 检 定 时 错 误 方 面 提 供 了 业 内 领 先 的 能 力, 如 传 统 逻 辑 分 析 仪 检 测 不 到 的 窄 毛 刺 和 建 立 时 间 / 保 持 时 间 违 规,MagniVu 采 集 则 是 这 种 能 力 的 关 键 如 图 14 所 示, 可 以 在 显 示 屏 上 查 看 这 个 高 分 辨 率 记 录, 其 与 主 存 储 器 中 其 它 定 时 波 形 完 美 地 对 准 模 拟 数 字 集 成 调 试 工 具 试 图 追 踪 数 字 错 误 的 设 计 人 员 还 必 须 考 虑 模 拟 域 在 当 前 系 统 中, 由 于 快 速 边 沿 和 数 据 速 率, 数 字 信 号 底 层 的 模 拟 特 点 对 系 统 行 为 的 影 响 正 越 来 越 高, 特 别 是 可 靠 性 和 可 重 复 性 信 号 畸 变 可 能 源 自 模 拟 域 问 题, 如 阻 抗 不 匹 配 传 输 线 效 应 等 等 类 似 的, 信 号 畸 变 可 能 是 数 字 问 题 的 副 产 品, 如 建 立 时 间 和 保 持 时 间 违 规 数 字 信 号 效 应 和 模 拟 信 号 效 应 之 间 的 相 互 影 响 非 常 大 通 常 会 使 用 逻 辑 分 析 仪, 首 先 检 测 异 常 事 件 及 其 在 数 字 域 中 的 影 响 这 种 工 具 可 以 在 长 时 间 跨 度 中, 一 次 捕 获 数 十 条 甚 至 数 百 条 通 道 ; 因 此, 它 是 最 可 能 在 适 当 的 时 间 连 接 到 适 当 的 信 号 的 采 集 仪 器 在 发 现 异 常 信 号 后, 检 定 异 常 信 号 的 任 务 则 由 实 时 示 波 器 来 完 成 它 可 以 详 细 采 集 每 个 毛 刺 和 跳 变, 并 提 供 精 确 的 幅 度 和 定 时 信 息 追 踪 这 些 模 拟 特 点 通 常 是 解 决 数 字 问 题 最 快 捷 的 途 径 高 效 调 试 要 求 能 够 同 时 处 理 数 字 域 和 模 拟 域 的 工 具 和 方 法 捕 获 这 两 个 域 之 间 的 交 互, 并 以 模 拟 形 式 和 数 字 形 式 进 行 显 示, 是 高 效 调 试 的 关 键 某 些 现 代 解 决 方 案, 特 别 是 泰 克 TLA 系 列 逻 辑 分 析 仪 和 DPO 系 列 示 波 器, 包 括 能 够 把 这 两 种 平 台 集 成 在 一 起 的 功 能 泰 克 ilink TM 系 列 工 具 使 得 逻 辑 分 析 仪 和 示 波 器 能 够 协 作, 共 享 触 发 和 时 间 相 关 显 示 ilink TM 系 列 工 具 由 专 门 设 计 的 多 个 单 元 组 成, 以 加 快 问 题 检 测 和 调 试 速 度 : icapture TM 复 用 技 术, 通 过 一 只 逻 辑 分 析 仪 探 头 同 时 提 供 数 字 采 集 和 模 拟 采 集 iview TM 显 示 技 术, 在 逻 辑 分 析 仪 显 示 屏 上 提 供 时 间 相 关 的 逻 辑 分 析 仪 和 示 波 器 集 成 测 量 iverify TM 分 析 技 术, 使 用 示 波 器 生 成 的 眼 图, 提 供 多 通 道 总 线 分 析 和 验 证 测 试 图 15 是 TLA 系 列 逻 辑 分 析 仪 上 的 iview 屏 幕 画 面 由 于 TLA 逻 辑 分 析 仪 与 集 成 的 DPO 示 波 器 曲 线 实 现 了 时 间 相 关, 因 此 信 号 同 时 以 模 拟 形 式 和 数 字 形 式 出 现 11

12 入 门 手 册 分 析 和 显 示 结 果 可 以 在 各 种 显 示 模 式 和 分 析 模 式 下 使 用 实 时 采 集 存 储 器 中 存 储 的 数 据 在 信 息 存 储 在 系 统 内 部 后, 可 以 使 用 不 同 格 式 查 看 这 些 信 息, 如 从 定 时 波 形 直 到 与 源 代 码 相 关 的 指 令 助 记 符 波 形 显 示 波 形 显 示 是 一 种 多 通 道 详 细 视 图, 允 许 您 查 看 捕 获 的 所 有 信 号 的 时 间 关 系, 其 在 很 大 程 度 上 与 示 波 器 的 显 示 画 面 类 似 图 16 是 简 化 的 波 形 显 示 画 面 在 这 个 图 示 中, 已 经 增 加 了 采 样 时 钟 标 记, 以 显 示 采 样 的 点 逻 辑 分 析 仪 采 样 时 钟 图 16. 逻 辑 分 析 仪 波 形 显 示 ( 简 化 图 ) 波 形 显 示 通 常 用 于 定 时 分 析 中, 其 特 别 适 合 : 诊 断 SUT 硬 件 中 的 定 时 问 题 通 过 把 记 录 的 结 果 与 仿 真 器 的 输 出 或 产 品 技 术 资 料 中 的 定 时 图 进 行 对 比, 检 验 硬 件 是 否 正 常 运 行 测 量 硬 件 定 时 相 关 特 点 : - 争 用 条 件 - 传 播 延 迟 - 不 存 在 或 存 在 脉 冲 分 析 毛 刺 列 表 显 示 列 表 显 示 以 用 户 选 择 的 字 母 数 字 形 式 提 供 状 态 信 息 列 表 中 的 数 据 值 是 从 整 个 总 线 中 捕 获 的 样 点 中 累 积 的, 可 以 用 十 六 进 制 或 其 它 格 式 表 示 递 增 状 态 =0111 二 进 制 = 7 十 六 进 制 图 17. 在 外 部 时 钟 信 号 启 动 采 集 时, 状 态 采 集 捕 获 总 线 中 的 一 个 数 据 片 段 想 象 一 下, 在 一 条 总 线 所 有 波 形 中 获 得 一 个 垂 直 的 片 段, 如 图 17 所 示 通 过 四 位 总 线 的 片 段 代 表 着 实 时 采 集 存 储 器 中 存 储 的 样 点 如 图 17 所 示, 阴 影 片 段 中 的 数 字 是 逻 辑 分 析 仪 将 显 示 的 内 容, 其 一 般 采 用 十 六 进 制 形 式 列 表 显 示 的 目 的 是 显 示 SUT 的 状 态 图 18 中 的 列 表 显 示 允 许 查 看 信 息 流 程, 与 SUT 看 到 的 一 模 一 样, 即 数 据 字 流 图 18. 列 表 显 示 12

13 状 态 数 据 以 多 种 格 式 显 示 实 时 指 令 追 踪 功 能 反 汇 编 每 个 总 线 事 务, 确 定 在 总 线 中 读 取 哪 些 指 令 它 与 相 关 地 址 一 起, 在 逻 辑 分 析 仪 显 示 画 面 上 放 上 相 应 的 指 令 助 记 符 图 19 是 实 时 指 令 追 踪 显 示 实 例 另 一 个 显 示 画 面 源 代 码 调 试 显 示 画 面, 通 过 把 源 代 码 与 指 令 追 踪 历 史 关 联 起 来, 使 您 的 调 试 工 作 更 加 高 效 它 可 以 立 即 查 看 指 令 执 行 时 实 际 发 生 的 情 况 图 20 是 与 图 19 实 时 指 令 追 踪 相 关 的 源 代 码 显 示 画 面 在 特 定 处 理 器 支 持 套 件 的 帮 助 下, 可 以 以 助 记 符 形 式 显 示 状 态 分 析 数 据, 它 可 以 更 简 便 地 调 试 SUT 中 的 软 件 问 题 在 获 得 了 这 些 知 识 后, 您 可 以 进 入 级 别 较 低 的 状 态 显 示 画 面 ( 如 十 六 进 制 显 示 画 面 ), 或 进 入 定 时 图 显 示 画 面, 追 踪 错 误 来 源 图 19. 实 时 命 令 追 踪 显 示 画 面 状 态 分 析 应 用 包 括 : 参 数 和 余 量 分 析 ( 如 建 立 时 间 和 保 持 时 间 值 ) 检 测 建 立 时 间 和 保 持 时 间 违 规 硬 件 / 软 件 集 成 和 调 试 状 态 机 调 试 系 统 优 化 追 踪 整 个 设 计 中 的 数 据 自 动 测 量 拖 放 式 自 动 测 量 可 以 在 逻 辑 分 析 仪 采 集 数 据 上 执 行 完 善 的 测 量 它 提 供 了 广 泛 的 可 供 选 择 的 示 波 器 式 测 量 项 目, 包 括 频 率 周 期 脉 宽 占 空 比 和 边 沿 数 量 通 过 提 供 与 非 常 大 的 样 点 总 量 有 关 的 测 量 结 果, 自 动 测 量 提 供 了 快 速 全 面 的 结 果 执 行 测 量 的 过 程 非 常 简 单 : 从 多 栏 窗 口 中 出 现 的 一 组 相 关 图 标 中, 点 击 选 定 的 一 个 测 量 图 标 ; 把 图 标 拖 到 主 窗 口 中 的 波 形 曲 线 中 ; 放 开 鼠 标 键 逻 辑 分 析 仪 设 置 测 量, 执 行 任 何 必 要 的 分 析 步 骤 ( 如 计 算 脉 宽 ), 显 示 结 果, 如 图 21 所 示 注 意 这 些 步 骤 完 全 自 动 化, 您 不 必 再 使 用 以 前 使 用 的 耗 时 的 手 动 测 量 方 法 图 20. 源 代 码 显 示 画 面 这 个 画 面 中 第 27 行 与 图 19 指 令 追 踪 显 示 画 面 中 第 120 个 样 点 相 关 图 21. 自 动 测 量 改 善 了 工 作 效 率 13

14 入 门 手 册 性 能 指 标 和 考 虑 因 素 逻 辑 分 析 仪 有 许 多 定 量 指 标 表 示 性 能 和 效 率, 其 中 多 个 指 标 与 采 样 率 有 关 这 是 测 量 频 率 轴, 与 数 字 荧 光 示 波 器 (DPO) 的 带 宽 类 似 某 些 探 测 和 触 发 术 语 对 DPO 用 户 来 说 可 能 也 很 熟 悉, 但 有 许 多 特 点 是 逻 辑 分 析 仪 数 字 域 独 有 的 特 点 由 于 逻 辑 分 析 仪 并 非 要 捕 获 和 重 建 模 拟 信 号, 因 此 通 道 数 量 和 同 步 ( 时 钟 ) 模 式 等 是 关 键 问 题, 而 垂 直 精 度 等 模 拟 因 素 则 退 居 其 次 下 面 列 出 的 性 能 术 语 和 考 虑 因 素 参 考 了 泰 克 最 新 的 TLA 系 列 逻 辑 分 析 仪, 这 是 一 种 业 内 领 先 的 解 决 方 案, 满 足 了 最 苛 刻 的 数 字 设 计 应 用 需 求 定 时 采 集 速 率 逻 辑 分 析 仪 最 基 本 的 使 命 是 根 据 其 采 集 的 数 据 生 成 定 时 图 如 果 DUT 功 能 正 常, 且 正 确 设 置 采 集, 那 么 逻 辑 分 析 仪 的 定 时 显 示 几 乎 与 设 计 仿 真 器 或 数 据 手 册 中 的 定 时 图 完 全 相 同 但 是, 这 个 指 标 取 决 于 逻 辑 分 析 仪 的 分 辨 率, 事 实 上 是 逻 辑 分 析 仪 的 采 样 率 定 时 采 集 是 异 步 的, 即 采 样 时 钟 相 对 于 输 入 信 号 自 由 运 行 采 样 率 越 高, 采 样 精 确 地 检 测 事 件 定 时 的 可 能 性 越 大, 如 跳 变 例 如, 采 样 频 率 是 50 GHz 的 TLA 系 列 逻 辑 分 析 仪 的 分 辨 率 等 于 20 ps 因 此, 定 时 显 示 画 面 在 最 坏 情 况 下 会 反 映 20 ps 实 际 边 沿 内 的 边 沿 位 置 状 态 采 集 速 率 状 态 采 集 是 同 步 的 它 依 赖 来 自 DUT 的 外 部 触 发, 为 采 集 提 供 时 钟 输 入 状 态 采 集 旨 在 帮 助 工 程 师 追 踪 处 理 器 和 总 线 的 数 据 流 程 和 程 序 执 行 情 况 逻 辑 分 析 仪 如 TLA 系 列, 可 以 提 供 1.4 GHz 的 状 态 采 集 频 率, 在 所 有 通 道 中 支 持 180 ps 的 建 立 时 间 / 保 持 时 间 窗 口, 保 证 准 确 地 捕 获 数 据 注 意, 这 个 频 率 与 逻 辑 分 析 仪 将 监 测 的 总 线 和 I/O 事 务 有 关, 而 不 是 DUT 的 内 部 时 钟 速 率 尽 管 设 备 的 内 部 速 率 可 能 在 几 千 兆 赫 范 围 内, 但 其 与 总 线 和 其 它 设 备 的 通 信 速 率 与 逻 辑 分 析 仪 的 状 态 采 集 频 率 处 于 同 一 水 平 MagniVu 采 集 速 率 MagniVu 采 集 适 用 于 定 时 采 集 模 式 或 状 态 采 集 模 式 通 过 在 触 发 点 周 围 累 积 额 外 的 样 点,MagniVu 采 集 在 所 有 通 道 上 提 供 了 更 高 的 采 样 分 辨 率, 可 以 更 简 便 地 找 到 棘 手 的 问 题 其 它 功 能 包 括 可 以 调 节 的 MagniVu 采 样 率 可 以 移 动 的 触 发 位 置 及 可 以 独 立 于 主 触 发 进 行 触 发 的 单 独 MagniVu 触 发 操 作 记 录 长 度 记 录 长 度 是 另 一 个 关 键 的 逻 辑 分 析 仪 指 标 能 够 以 采 样 的 数 据 形 式 存 储 更 多 时 间 的 逻 辑 分 析 仪 非 常 实 用, 因 为 触 发 采 集 的 症 状 可 能 会 发 生 在 原 因 很 长 时 间 之 后 在 较 长 的 记 录 长 度 中, 通 常 可 以 同 时 捕 获 和 查 看 症 状 和 原 因, 大 大 简 化 调 试 过 程 14

15 TLA 系 列 逻 辑 分 析 仪 可 以 配 置 各 种 记 录 长 度 还 可 以 把 最 多 四 条 通 道 的 存 储 器 连 接 起 来, 使 可 用 深 度 提 高 四 倍 这 提 供 了 一 种 手 段, 可 以 在 需 要 时 构 建 大 规 模 记 录 长 度, 从 较 小 的 成 本 较 低 的 配 置 中 获 得 长 记 录 长 度 的 性 能 通 道 数 量 和 模 块 化 逻 辑 分 析 仪 的 通 道 数 量 是 其 为 整 个 系 统 中 宽 总 线 和 / 或 多 个 测 试 点 提 供 支 持 的 基 础 在 配 置 仪 器 记 录 长 度 时, 通 道 数 量 也 非 常 重 要 : 为 使 记 录 长 度 提 高 两 倍 或 四 倍, 分 别 要 求 两 条 通 道 和 四 条 通 道 高 速 串 行 总 线 是 当 前 的 发 展 趋 势, 在 这 种 趋 势 下, 通 道 数 量 问 题 变 得 非 常 关 键 例 如,32 位 串 行 数 据 包 必 须 分 布 到 32 条 逻 辑 分 析 仪 通 道 中, 而 不 是 一 条 逻 辑 分 析 仪 通 道 中 换 句 话 说, 从 并 行 结 构 转 向 串 行 结 构 并 没 有 影 响 对 通 道 数 量 的 需 求 独 立 式 TLA 系 列 逻 辑 分 析 仪 可 以 配 置 各 种 通 道 数 量 模 块 化 TLA 系 列 逻 辑 分 析 仪 可 以 容 纳 各 种 采 集 模 块, 它 们 可 以 连 接 在 一 起, 实 现 更 高 的 通 道 数 量 最 终, 系 统 可 以 容 纳 数 千 条 采 集 通 道 模 块 化 TLA 系 列 结 构 具 有 独 特 的 能 力, 可 以 保 持 模 块 间 同 步 和 低 时 延, 即 使 这 些 模 块 位 于 不 同 的 主 机 中 触 发 触 发 灵 活 性 是 快 速 高 效 地 检 测 没 有 看 到 的 问 题 的 关 键 在 逻 辑 分 析 仪 中, 触 发 是 指 设 置 条 件, 在 满 足 这 些 条 件 时 将 捕 获 采 集, 显 示 结 果 采 集 停 止 可 以 证 明 发 生 了 触 发 条 件 ( 除 非 指 定 异 常 超 时 ) 今 天, 拖 放 式 触 发 功 能 简 化 了 触 发 设 置, 可 以 更 简 便 地 设 置 常 用 触 发 类 型 这 些 触 发 使 用 户 不 必 为 日 常 定 时 问 题 设 计 精 心 制 作 的 触 发 配 置 本 文 后 面 的 应 用 实 例 将 显 示, 逻 辑 分 析 仪 还 可 以 有 力 地 指 定 这 些 触 发, 满 足 更 加 复 杂 的 问 题 逻 辑 分 析 仪 还 提 供 了 多 个 触 发 状 态 字 识 别 器 边 沿 / 跳 变 识 别 器 范 围 识 别 器 定 时 器 / 计 数 器 和 快 照 识 别 器 及 毛 刺 和 建 立 时 间 / 保 持 时 间 触 发 探 测 随 着 每 个 新 一 代 电 子 产 品 中 的 电 路 密 度 和 速 度 大 幅 度 提 高, 探 测 解 决 方 案 正 变 成 整 体 逻 辑 分 析 仪 解 决 方 案 中 越 来 越 重 要 的 一 个 组 成 部 分 探 头 必 须 提 供 与 目 标 设 备 相 匹 配 的 通 道 密 度, 同 时 提 供 有 效 连 接, 保 留 信 号 质 量 泰 克 无 连 接 器 逻 辑 分 析 仪 探 头 采 用 D-Max? 技 术, 为 迎 接 这 些 挑 战 提 供 了 一 种 创 新 方 法 它 们 在 探 头 和 电 路 板 之 间 提 供 了 坚 固 耐 用 的 可 靠 的 机 械 和 电 气 连 接 其 业 内 领 先 的 输 入 电 容, 使 探 头 负 荷 对 信 号 的 影 响 达 到 最 小 这 些 压 缩 探 头 是 为 与 电 路 板 上 简 单 的 连 接 盘 配 对 设 计 的, 节 约 了 宝 贵 的 电 路 板 空 间, 最 大 限 度 地 降 低 了 布 线 复 杂 性 和 成 本 15

16 入 门 手 册 逻 辑 分 析 仪 采 样 图 22. 说 明 采 样 率 与 分 辨 率 关 系 的 D 触 发 器 实 例 图 23. 采 样 率 与 分 辨 率 的 关 系 逻 辑 分 析 仪 测 量 实 例 下 面 这 一 系 列 实 例 将 说 明 多 个 常 见 的 测 量 问 题 及 其 解 决 方 案 我 们 简 化 了 解 释 过 程, 重 点 介 绍 部 分 基 本 逻 辑 分 析 仪 采 集 技 术 及 数 据 结 果 显 示 为 简 单 起 见, 我 们 省 掉 了 某 些 设 置 步 骤 和 配 置 细 节 如 需 进 一 步 细 节, 请 参 阅 仪 器 文 档 应 用 指 南 和 其 它 技 术 资 料 进 行 通 用 定 时 测 量 保 证 数 字 系 统 中 关 键 信 号 之 间 正 确 的 定 时 关 系, 是 验 证 过 程 的 一 个 基 本 步 骤 必 须 评 估 各 种 定 时 参 数 和 信 号 : 传 播 延 迟 脉 宽 建 立 时 间 和 保 持 时 间 特 点 信 号 偏 移 等 等 高 效 的 定 时 测 量 要 求 一 种 能 够 在 大 量 的 通 道 中 提 供 高 分 辨 率 采 集 的 工 具, 并 且 对 被 测 的 电 路 负 荷 达 到 最 小 这 个 工 具 必 须 拥 有 灵 活 的 触 发 功 能, 帮 助 设 计 人 员 定 义 明 确 的 触 发 条 件, 迅 速 定 位 问 题 此 外, 这 个 工 具 必 须 提 供 显 示 和 分 析 功 能, 简 化 对 长 记 录 的 理 解 在 验 证 新 的 数 字 设 计 时, 通 常 要 求 定 时 测 量 下 面 的 实 例 演 示 了 在 D 触 发 器 上 进 行 的 定 时 测 量, 其 连 接 如 图 22 所 示 这 个 实 例 基 于 泰 克 TLA 系 列 逻 辑 分 析 仪 功 能 在 现 实 生 活 中, 这 样 的 测 量 可 能 会 同 时 采 集 数 百 个 甚 至 数 千 个 信 号 但 是, 不 管 是 哪 种 情 况, 原 理 都 是 相 同 的, 如 本 例 所 示, 定 时 测 量 非 常 快 速 简 便 准 确 设 置 触 发 和 时 钟 这 个 实 例 使 用 IF Anything, THEN Trigger 设 置 和 内 部 ( 异 步 ) 时 钟 还 有 一 个 设 置 步 骤, 其 超 出 了 本 文 的 讨 论 范 围, 即 命 名 并 把 信 号 映 射 到 特 定 的 逻 辑 分 析 仪 通 道 在 执 行 Run 操 作 采 集 信 号 数 据 后, 使 用 Horizontal Position 控 制 功 能 和 存 储 器 滚 动 条, 定 位 屏 幕 上 的 数 据, 以 便 能 够 看 到 触 发 指 示 符 ( 标 有 T ) 把 鼠 标 指 针 放 在 Q 信 号 前 沿 上, 按 鼠 标 右 键 从 出 现 的 菜 单 中 选 择 Move cursor 1 here, 把 第 一 个 测 量 光 标 移 到 这 个 位 置 然 后 可 以 使 用 拖 放 功 能, 把 光 标 snap ( 吸 住 ) 前 沿 上 这 会 成 为 被 测 时 间 跨 度 的 开 始 时 间 16

17 毛 刺 MagniVu 采 样 图 24. MagniVu 采 集 技 术 实 现 更 高 分 辨 率 的 D 触 发 器 实 例 图 25. 使 用 MagniVu 采 集 进 行 毛 刺 触 发, 实 现 了 更 高 的 分 辨 率 把 鼠 标 光 标 放 在 Q 信 号 的 后 沿 上 按 鼠 标 右 键, 选 择 Move cursor 2 here, 放 置 光 标 您 也 可 以 使 用 snap ( 吸 住 ) 光 标 功 能, 更 加 简 便 地 把 光 标 与 边 沿 对 准 这 会 成 为 被 测 时 间 跨 度 的 结 束 时 间 由 于 显 示 画 面 的 Y 轴 表 明 时 间, 因 此 Cursor 2 和 Cursor 1 之 间 的 差 值 即 时 间 测 量 显 示 画 面 Delta Time 读 数 中 出 现 结 果 52 ns 测 量 分 辨 率 取 决 于 采 样 率, 在 图 23 中, 采 样 率 是 2 ns, 如 Sample 追 踪 上 的 记 号 所 示 注 意 "Delta Time" 测 量 分 辨 率 不 能 大 于 采 样 率 检 测 和 显 示 间 歇 性 毛 刺 毛 刺 一 直 是 数 字 系 统 设 计 人 员 头 痛 的 问 题 这 些 不 稳 定 的 脉 冲 具 有 间 歇 性 特 点, 其 幅 度 和 时 间 周 期 可 能 是 不 规 则 的 它 们 不 可 避 免 地 检 测 和 捕 获 起 来 非 常 困 难, 而 不 可 预 测 的 毛 刺 影 响 可 能 会 使 系 统 失 效 例 如, 一 个 逻 辑 单 元 很 容 易 会 错 误 地 把 毛 刺 理 解 为 时 钟 脉 冲, 进 而 可 能 会 在 总 线 中 过 早 地 发 送 数 据, 产 生 使 整 个 系 统 产 生 波 动 的 错 误 任 意 数 量 的 条 件 都 会 导 致 毛 刺 : 串 扰 电 感 耦 合 争 用 条 件 定 时 违 规 等 等 毛 刺 可 能 会 躲 过 传 统 逻 辑 分 析 仪 定 时 测 量, 因 为 它 们 的 时 间 周 期 非 常 短 很 容 易 会 出 现 毛 刺, 然 后 在 两 个 逻 辑 分 析 仪 采 集 之 间 的 时 间 内 消 失 只 有 定 时 分 辨 率 非 常 高 的 逻 辑 分 析 仪 ( 即 在 异 步 模 式 下 运 行 时 高 时 钟 频 率 ) 才 可 望 捕 获 这 些 简 短 的 事 件 在 理 想 情 况 下, 逻 辑 分 析 仪 将 自 动 突 出 显 示 毛 刺 和 通 道 下 面 的 实 例 说 明 了 使 用 TLA 系 列 逻 辑 分 析 仪 捕 获 窄 毛 刺 的 过 程 被 测 设 备 (DUT) 还 是 信 号 定 时 如 图 24 所 示 的 D 触 发 器 我 们 使 用 MagniVu 定 时 分 辨 率, 以 非 常 高 的 精 度 检 测 和 显 示 毛 刺 这 个 实 例 内 容 并 不 详 细, 因 为 我 们 省 去 了 部 分 步 骤, 以 与 本 入 门 手 册 的 级 别 保 持 一 致 在 以 前 的 触 发 设 置 中, 我 们 已 经 在 波 形 窗 口 中 采 集 了 波 形 通 过 使 用 拖 放 触 发 功 能, 可 以 轻 松 捕 获 毛 刺 点 击 屏 幕 底 部 的 Trigger 栏 点 击 括 号 中 的 毛 刺 触 发 选 项, 把 它 拖 放 到 总 线 波 形 上 现 在 点 击 Run 按 钮, 然 后 将 捕 获 并 在 波 形 窗 口 中 上 显 示 这 些 总 线 上 的 毛 刺 采 集 结 果 如 图 25 所 示 这 个 屏 幕 中 包 括 多 条 增 加 的 通 道 ( 通 常 是 单 独 的 设 置 步 骤, 不 要 求 第 二 次 采 集 ), 以 显 示 高 分 辨 率 MagniVu 采 集 的 内 容 17

18 入 门 手 册 外 部 时 钟 建 立 时 间 保 持 时 间 数 字 器 件 制 造 商 指 定 了 建 立 时 间 和 保 持 时 间 参 数, 工 程 师 必 须 特 别 注 意, 保 证 其 设 计 不 会 违 反 这 些 指 标 但 是, 当 前 容 限 越 来 越 紧 张 及 更 快 速 部 件 的 广 泛 使 用 以 提 供 更 高 的 吞 吐 量, 正 使 建 立 时 间 和 保 持 时 间 违 规 越 来 越 常 见 这 些 违 规 可 能 会 导 致 设 备 输 出 变 得 不 稳 定 ( 这 种 情 况 称 为 亚 稳 定 ), 可 能 会 导 致 意 想 不 到 的 毛 刺 和 其 它 错 误 设 计 人 员 必 须 认 真 检 查 电 路, 确 定 违 反 设 计 规 则 是 否 导 致 建 立 时 间 和 保 持 时 间 问 题 MagniVu 采 样 图 26. 建 立 时 间 和 保 持 时 间 关 系 在 Q 输 出 波 形 曲 线 上, 注 意 触 发 指 示 符 左 面 ( 较 早 ) 的 红 色 标 记 这 宣 布 在 触 发 样 点 和 其 紧 前 面 数 据 样 点 之 间 的 红 色 区 域 中 已 经 检 测 到 一 个 毛 刺 Q 输 出 的 MagniVu 通 道 ( 底 部 曲 线 ) 揭 示 了 毛 刺 发 生 的 具 体 位 置 在 这 个 点 上, 毛 刺 定 时 是 已 知 的, 可 以 使 用 仪 器 的 缩 放 和 光 标 功 能, 测 量 脉 宽 捕 获 建 立 时 间 或 保 持 时 间 违 规 建 立 时 间 定 义 为 在 偏 移 到 设 备 的 时 钟 边 沿 前 输 入 数 据 必 须 有 效 和 稳 定 的 最 短 时 间 ( 参 见 图 26) 保 持 时 间 是 在 时 钟 边 沿 发 生 后 数 据 必 须 有 效 和 稳 定 的 最 短 时 间 近 年 来, 建 立 时 间 和 保 持 时 间 要 求 已 经 缩 窄, 以 致 于 使 用 大 多 数 传 统 通 用 逻 辑 分 析 仪 很 难 检 测 和 捕 获 事 件 唯 一 有 效 的 解 决 方 案 是 使 用 拥 有 亚 纳 秒 级 采 样 分 辨 率 的 逻 辑 分 析 仪 具 有 MagniVu 采 集 功 能 的 泰 克 TLA 系 列 逻 辑 分 析 仪 提 供 了 经 过 验 证 的 建 立 时 间 和 保 持 时 间 测 量 解 决 方 案 下 面 的 实 例 介 绍 了 同 步 采 集 模 式, 其 依 赖 外 部 时 钟 信 号 驱 动 采 样, 不 管 是 哪 种 模 式, 都 总 是 可 以 使 用 MagniVu 功 能, 在 触 发 点 周 围 提 供 高 分 辨 率 采 样 数 据 缓 冲 器 这 里 的 DUT 仍 是 拥 有 单 个 输 出 的 D 触 发 器, 但 这 个 实 例 同 样 适 用 于 拥 有 数 百 个 输 出 的 设 备 通 过 使 用 MagniVu 采 集 查 看 数 据, 我 们 可 以 获 得 最 高 的 定 时 分 辨 率 应 该 指 出 的 是, 在 本 演 示 中, 我 们 建 立 了 一 个 只 包 括 MagniVu 采 集 的 数 据 窗 口 由 于 将 触 发 建 立 时 间 或 保 持 时 间 违 规, 因 此 MagniVu 功 能 可 以 在 违 规 周 围 提 供 最 佳 的 定 时 分 辨 率 18

19 突 发 数 据 图 27. 建 立 时 间 和 保 持 时 间 事 件 显 示 画 面 在 跳 变 时 存 储 的 样 点 图 29. 传 统 存 储 技 术 只 能 在 发 生 跳 变 时 存 储 数 据 使 用 跳 变 存 储 器 最 大 限 度 地 提 高 可 用 的 记 录 长 度 有 时 被 测 设 备 会 生 成 中 间 有 很 长 时 间 不 活 动 由 偶 尔 的 事 件 群 组 成 的 信 号 例 如, 某 些 类 型 的 雷 达 系 统 使 用 时 间 上 相 隔 很 远 的 突 发 数 据 驱 动 内 部 数 模 转 换 器 图 28. 显 示 结 果, 表 明 了 建 立 时 间 和 保 持 时 间 在 这 个 实 例 中,DUT 本 身 提 供 外 部 时 钟 信 号, 控 制 着 同 步 采 集 可 以 使 用 逻 辑 分 析 仪 拖 放 触 发 功 能, 创 建 建 立 时 间 和 保 持 时 间 触 发 这 种 模 式 的 独 特 之 处 是 其 能 够 简 便 地 定 义 明 确 的 建 立 时 间 和 保 持 时 间 违 规 参 数, 如 图 27 所 示 可 以 使 用 设 置 窗 口 中 的 其 它 子 菜 单, 提 炼 信 号 定 义 的 其 它 方 面, 包 括 逻 辑 条 件 和 正 向 或 负 向 条 件 在 测 试 运 行 时, 逻 辑 分 析 仪 实 际 评 估 时 钟 的 每 个 上 升 沿, 确 定 建 立 时 间 或 保 持 时 间 违 规 它 监 测 数 百 万 个 事 件, 只 捕 获 未 能 满 足 建 立 时 间 或 保 持 时 间 要 求 的 事 件 得 到 的 显 示 画 面 如 图 28 所 示 这 里, 建 立 时 间 是 ns, 远 远 低 于 确 定 的 极 限 10 ns 在 使 用 传 统 逻 辑 分 析 仪 采 集 和 存 储 技 术 时, 这 是 一 个 问 题 仪 器 对 每 个 采 样 间 隔 使 用 一 个 存 储 位 置, 这 种 方 法 可 以 大 体 称 为 Store All ( 全 部 存 储 ) 这 会 用 没 有 变 化 的 数 据 迅 速 填 满 采 集 存 储 器, 占 用 捕 获 实 际 感 兴 趣 数 据 ( 突 发 的 活 动 信 号 ) 所 需 的 宝 贵 容 量 一 种 称 为 跳 变 存 储 器 的 方 法 解 决 了 这 个 问 题, 其 只 在 跳 变 发 生 时 存 储 数 据 图 29 说 明 了 这 一 概 念 逻 辑 分 析 仪 在 且 只 在 数 据 变 化 时 采 样 可 以 以 逻 辑 分 析 仪 主 采 样 存 储 器 的 全 部 分 辨 率 捕 获 相 距 几 秒 几 分 钟 几 小 时 或 几 天 的 突 发 仪 器 等 待 很 长 的 静 止 周 期 注 意, 并 不 是 忽 略 这 些 不 活 动 的 长 跨 度, 而 是 一 直 监 测 这 些 跨 度, 但 不 记 录 这 些 跨 度 下 面 的 实 例 说 明 了 使 用 TLA 系 列 逻 辑 分 析 仪 实 现 的 解 决 方 案 通 用 的 IF/THEN 触 发 算 法 再 次 成 为 区 分 独 特 的 适 合 跳 变 存 储 情 况 的 最 佳 工 具 19

20 入 门 手 册 逻 辑 分 析 仪 应 用 实 例 下 面 概 括 介 绍 了 当 前 某 些 关 键 应 用 中 需 要 考 虑 的 测 量 要 求 和 考 虑 因 素 图 30. 显 示 画 面 演 示 了 跳 变 存 储 技 术 TLA 系 列 接 口 提 供 了 一 个 下 拉 Storage 菜 单, 选 择 Transitional 而 不 是 All 事 件 这 会 调 出 一 个 菜 单, 可 以 调 用 IF Channel Burst=High THEN Trigger 模 式 使 用 这 些 指 定 条 件 运 行 测 试, 将 生 成 一 个 类 似 于 图 30 所 示 的 屏 幕 画 面 这 里, 突 发 中 包 含 9 组 每 组 8 个 脉 冲, 宽 22 ns, 各 组 之 间 相 距 428 ns 的 静 止 间 隔 跳 变 存 储 器 允 许 仪 器 捕 获 全 部 16 个 突 发 组, 包 括 7 个 在 屏 幕 外 的 其 余 触 发 组, 同 时 只 占 用 256 的 记 录 长 度 时 间 窗 口 代 表 着 大 约 3.8 毫 秒 的 采 集 时 间, 这 些 组 每 隔 2 毫 秒 重 复 一 次 相 比 之 下,Store All 采 集 模 式 只 采 集 其 中 一 个 突 发 组, 使 用 的 存 储 空 间 是 2000 倍, 即 512K 分 配 的 存 储 器 将 在 大 约 1 微 秒 中 填 满, 大 部 分 空 间 被 空 白 的 不 活 动 周 期 占 用 跳 变 存 储 器 在 每 次 运 行 采 集 时 能 够 收 集 的 实 用 信 息 数 量 大 大 提 高 FPGA 设 计 规 格 和 复 杂 性 显 著 增 长, 使 设 计 检 验 成 为 当 前 FPGA 系 统 的 关 键 瓶 颈 内 部 信 号 访 问 能 力 有 限 先 进 的 FPGA 封 装 和 印 刷 电 路 板 (PCB) 电 气 噪 声, 都 使 FPGA 调 试 和 检 验 成 为 设 计 周 期 中 最 困 难 的 过 程 调 试 和 检 验 设 计 的 时 间 很 容 易 会 超 过 设 计 周 期 的 50% 为 帮 助 您 完 成 设 计 调 试 和 检 验 过 程, 要 求 使 用 新 的 工 具, 帮 助 调 试 设 计, 同 时 在 FPGA 上 全 速 运 行 其 中 在 设 计 阶 段 需 要 做 出 的 一 个 关 键 选 择 是 确 定 使 用 哪 种 FPGA 调 试 方 法 在 理 想 情 况 下, 您 想 要 一 种 能 够 移 植 到 所 有 FPGA 设 计 的 方 法, 这 种 方 法 使 您 能 够 同 时 洞 察 FPGA 操 作 和 系 统 操 作, 使 您 能 够 找 到 和 分 析 棘 手 的 问 题 实 际 上, 有 两 种 基 本 在 线 FPGA 调 试 方 法 : 第 一 种 使 用 嵌 入 式 逻 辑 分 析 仪, 第 二 种 使 用 外 部 逻 辑 分 析 仪 选 择 使 用 哪 种 方 法 取 决 于 项 目 的 调 试 需 求 每 个 FPGA 厂 商 都 提 供 一 个 嵌 入 式 逻 辑 分 析 仪 核 心 这 些 知 识 产 权 模 块 被 插 入 FPGA 设 计 中, 同 时 提 供 触 发 功 能 和 存 储 功 能 必 需 指 出 的 是,FPGA 逻 辑 资 源 用 来 实 现 触 发 电 路,FPGA 存 储 器 模 块 用 来 实 现 存 储 功 能 JTAG 一 般 用 来 配 置 核 心 操 作, 然 后 把 捕 获 的 数 据 传 送 到 PC 进 行 查 看 由 于 嵌 入 式 逻 辑 分 析 仪 使 用 内 部 FPGA 资 源, 因 此 其 最 常 用 于 较 大 的 FPGA, 可 以 更 好 地 吸 收 核 心 的 开 销 与 任 何 调 试 方 法 一 样, 嵌 入 式 逻 辑 分 析 仪 有 一 些 优 点 和 缺 点 需 要 我 们 了 解 20

21 优 点 要 求 的 引 脚 数 量 较 少 探 测 简 单 成 本 相 对 较 低 缺 点 核 心 尺 寸 限 制 着 其 只 能 用 于 大 型 FPGA 必 须 放 弃 内 部 存 储 器 仅 状 态 模 式 分 析 速 度 有 限 FPGA 轨 迹 数 据 和 其 它 系 统 轨 迹 之 间 不 相 关 可 以 视 具 体 情 况, 选 择 使 用 不 同 的 方 法 挑 战 在 于 确 定 哪 种 方 法 适 合 您 的 设 计 问 一 下 自 己 下 面 几 个 问 题 : 预 计 问 题 是 什 么? 如 果 您 认 为 问 题 将 被 隔 离 到 FPGA 内 部 功 能 中, 那 么 可 以 使 用 嵌 入 式 逻 辑 分 析 仪, 它 提 供 了 所 需 的 全 部 调 试 功 能 但 是, 如 果 预 计 较 大 的 调 试 问 题, 可 能 要 求 检 验 定 时 余 量, 把 内 部 FPGA 活 动 与 电 路 板 上 的 其 它 活 动 关 联 起 来, 或 要 求 更 强 大 的 触 发 功 能 隔 离 问 题, 那 么 使 用 外 部 逻 辑 分 析 仪 更 适 合 满 足 您 的 调 试 需 求 由 于 嵌 入 式 逻 辑 分 析 仪 方 法 的 局 限 性, 许 多 FPGA 设 计 人 员 已 经 采 用 一 种 方 法, 既 利 用 了 FPGA 的 灵 活 性, 又 利 用 了 外 部 逻 辑 分 析 仪 的 处 理 能 力, 如 TLA 系 列 逻 辑 分 析 仪 在 这 种 方 法 中, 感 兴 趣 的 内 部 信 号 被 路 由 到 FPGA 引 脚 上, 然 后 连 接 到 外 部 逻 辑 分 析 仪 上 这 种 方 法 提 供 了 非 常 深 的 存 储 器, 适 用 于 调 试 症 状 与 实 际 原 因 相 距 时 间 很 长 的 问 题 它 还 能 够 把 内 部 FPGA 信 号 与 系 统 中 的 其 它 活 动 关 联 起 来 与 嵌 入 式 逻 辑 分 析 仪 方 法 一 样, 这 种 方 法 也 有 自 己 的 优 点 和 缺 点 让 我 们 更 详 细 地 看 一 下 外 部 逻 辑 分 析 仪 方 法 从 本 质 上 看, 这 种 方 法 使 用 FPGA 中 的 P, 按 需 对 设 备 重 新 编 程, 把 感 兴 趣 的 内 部 信 号 路 由 到 引 脚 数 量 一 般 较 少 的 器 件 上 这 种 方 法 非 常 实 用, 但 也 有 自 己 的 局 限 性 每 次 在 您 需 要 查 看 不 同 的 一 套 内 部 信 号 时, 您 都 可 能 需 要 改 变 设 计 ( 在 RTL 级 或 使 用 FPGA 编 辑 器 工 具 ), 把 所 需 的 一 套 信 号 路 由 到 调 试 引 脚 上 这 不 仅 耗 时 长, 而 且 如 果 要 求 重 新 编 译 设 计, 其 会 占 用 更 长 的 时 间, 可 能 会 改 变 设 计 定 时 而 隐 藏 问 题 调 试 引 脚 数 量 一 般 都 很 少, 内 部 信 号 和 调 试 引 脚 之 间 1:1 的 关 系 限 制 了 设 计 的 查 看 能 力 优 点 使 用 的 FPGA 逻 辑 资 源 非 常 少 不 使 用 FPGA 存 储 器 在 状 态 模 式 和 定 时 模 式 下 操 作 FPGA 信 号 与 其 它 系 统 信 号 相 关 缺 点 在 FPGA 上 要 求 更 多 的 引 脚 移 动 测 试 点 可 能 要 求 重 新 编 译 设 计 要 求 在 逻 辑 分 析 仪 上 手 动 更 新 信 号 名 称 为 克 服 这 些 限 制, 业 内 已 经 研 制 出 一 种 新 的 FPGA 调 试 方 法, 其 不 仅 提 供 了 外 部 逻 辑 分 析 仪 的 所 有 优 势, 还 消 除 了 其 主 要 限 制 First Silicon Solution 的 FPGAView 软 件 包 在 与 泰 克 TLA 系 列 逻 辑 分 析 仪 结 合 使 用 时, 为 调 试 Altera 或 Xilinx FPGA 和 周 边 硬 件 提 供 了 一 个 完 整 的 解 决 方 案 FPGAView 和 TLA 逻 辑 分 析 仪 相 结 合, 可 以 查 看 FPGA 设 计 内 部, 把 内 部 信 号 与 外 部 信 号 关 联 起 来 可 以 提 高 工 作 效 率, 因 为 消 除 了 耗 时 的 重 新 编 译 设 计 的 过 程, 每 个 调 试 引 脚 可 以 访 问 多 个 内 部 信 号 此 外,FPGAView 可 以 在 一 台 设 备 中 处 理 多 个 测 试 核 心 这 适 用 于 需 要 监 测 FPGA 内 部 不 同 时 钟 域 的 情 况 它 还 可 以 处 理 JTAG 链 中 的 多 个 FPGA 21

22 入 门 手 册 逻 辑 分 析 仪 连 接 没 有 使 用 的 FPGA 引 脚 编 程 硬 件 开 发 软 件 图 31. 典 型 的 FPGAView 实 现 方 案 如 图 31 所 示, 完 整 的 解 决 方 案 由 四 个 项 目 组 成 在 本 例 中, 第 一 个 项 目 是 Altera 在 其 Quartus II 软 件 套 件 中 提 供 的 测 试 复 用 器 这 个 测 试 复 用 器 提 供 给 所 有 Quartus II 软 件 用 户 第 二 个 项 目 是 FPGAView 软 件 包, 允 许 用 户 控 制 测 试 复 用 器, 把 其 它 项 目 整 合 成 一 个 强 大 的 工 具 第 三 个 项 目 是 TLA 系 列 逻 辑 分 析 仪, 用 来 采 集 和 分 析 数 据 最 后 一 个 项 目 是 JTAG 编 程 电 缆, 用 来 控 制 FPGA 内 部 的 测 试 复 用 器 FPGAView 和 TLA 系 列 逻 辑 分 析 仪 相 结 合, 可 以 简 化 与 FPGA 有 关 的 许 多 调 试 任 务 这 套 工 具 允 许 查 看 FPGA 设 计 内 部, 把 内 部 信 号 与 外 部 信 号 关 联 起 来 可 以 提 高 工 作 效 率, 因 为 它 消 除 了 耗 时 的 重 新 编 译 设 计 的 过 程, 每 个 调 试 引 脚 可 以 访 问 多 个 内 部 信 号 22

23 存 储 器 在 更 快 更 大 能 耗 更 低 的 存 储 器 要 求 和 更 小 的 物 理 尺 寸 推 动 下, 动 态 随 机 访 问 存 储 器 正 随 着 时 间 推 移 不 断 演 进 第 一 步 是 转 向 同 步 动 态 RAM, 它 提 供 了 一 个 时 钟 边 沿, 把 操 作 与 存 储 器 控 制 器 同 步 然 后 业 内 使 用 双 倍 数 据 速 率 (DDR) 提 高 了 数 据 速 率, 然 后 为 克 服 信 号 完 整 性 问 题,DDR2 SDRAM 和 DDR3 SDRAM 的 速 度 进 一 步 提 高 为 跟 上 更 加 复 杂 更 短 设 计 周 期 的 发 展 步 伐, 存 储 器 设 计 人 员 需 要 各 种 不 同 的 测 试 设 备, 检 查 设 计 如 果 要 查 看 阻 抗 和 轨 迹 长 度, 那 么 您 需 要 使 用 采 样 示 波 器 如 果 要 查 看 电 气 信 号, 从 功 率 到 信 号 完 整 性 再 到 时 钟 抖 动 等 等, 那 么 您 需 要 使 用 数 字 荧 光 示 波 器 如 果 要 查 看 命 令 和 协 议, 那 么 您 需 要 使 用 逻 辑 分 析 仪, 检 验 存 储 器 系 统 操 作, 如 图 32 所 示 逻 辑 分 析 仪 存 储 器 支 持 通 过 配 置 逻 辑 分 析 仪 设 置, 为 存 储 器 采 集 提 供 自 定 义 时 钟 存 储 器 数 据 分 析 软 件 和 助 记 符 列 表, 并 可 以 包 括 探 测 硬 件, 增 强 了 逻 辑 分 析 仪 操 作 Nexus Technology 公 司 是 泰 克 嵌 入 式 系 统 工 具 合 作 伙 伴, 为 泰 克 逻 辑 分 析 仪 和 示 波 器 提 供 逻 辑 分 析 仪 存 储 器 支 持 和 补 充 产 品 泰 克 还 分 销 部 分 Nexus Technology 产 品 信 号 完 整 性 直 接 观 察 和 测 量 信 号 是 发 现 信 号 完 整 性 相 关 问 题 的 唯 一 途 径 一 如 既 往, 选 择 适 当 的 工 具 将 简 化 您 的 工 作 大 部 分 信 号 完 整 性 测 量 由 几 乎 任 何 电 子 工 程 实 验 室 中 都 有 的 用 户 熟 悉 的 仪 器 完 成, 包 括 逻 辑 分 析 仪 和 示 波 器, 再 辅 以 探 头 和 应 用 软 件, 构 成 了 基 本 工 具 箱 此 外, 可 以 使 用 信 号 源, 提 供 失 真 信 号, 进 行 极 限 测 试, 评 估 新 的 器 件 和 系 统 图 32. Nexus Technology 公 司 NEX-FBD-NEXVu Listing 窗 口, 包 括 交 替 码 型 的 DDR2 SDRAM 读 数 据, 交 替 码 型 由 5555, 5555, 5555, 5555 十 六 进 制 及 AAAA, AAAA, AAAA, AAAA 十 六 进 制 组 成 图 33. 逻 辑 分 析 仪 显 示 画 面, 显 示 了 定 时 波 形 及 与 源 代 码 相 关 的 实 时 软 件 轨 迹 在 设 置 信 号 完 整 性 测 量 系 统 时, 主 要 考 虑 因 素 如 下 : 探 测 带 宽 和 阶 跃 响 应 定 时 分 辨 率 记 录 长 度 触 发 集 成 在 调 试 数 字 信 号 完 整 性 问 题 时, 特 别 是 在 拥 有 大 量 总 线 输 入 和 输 出 的 复 杂 系 统 中, 逻 辑 分 析 仪 构 成 了 第 一 道 防 线 23

24 入 门 手 册 逻 辑 分 析 仪 功 能 信 号 完 整 性 测 试 推 荐 功 能 示 波 器 集 成 逻 辑 分 析 仪 屏 幕 上 时 间 相 关 的 示 波 器 轨 迹, 多 通 道 眼 图 探 测 通 过 同 一 只 逻 辑 分 析 仪 探 头 同 时 探 测 定 时 状 态 和 模 拟 采 集 定 时 测 量 分 辨 率 20 ps ( 在 50 GHz 时 钟 速 率 下 ) 状 态 采 集 速 率 高 达 1.4 GHz 采 集 记 录 长 度 高 达 256 M 触 发 边 沿 毛 刺 逻 辑 建 立 时 间 / 保 持 时 间 等 等 分 析 处 理 器 支 持 软 件 包 和 反 汇 编 程 序 显 示 多 个 显 示 画 面 图 34. 信 号 完 整 性 分 析 要 求 某 些 最 高 的 逻 辑 分 析 仪 性 能 这 种 仪 器 拥 有 高 通 道 数 量 深 存 储 器 和 高 级 触 发 功 能, 可 以 从 多 个 测 试 点 采 集 数 字 信 息, 然 后 以 相 干 方 式 显 示 信 息 由 于 是 一 种 真 正 的 数 字 仪 器, 因 此 逻 辑 分 析 仪 可 以 检 测 其 监 测 的 信 号 越 过 门 限 的 情 况, 然 后 显 示 逻 辑 IC 看 到 的 逻 辑 信 号 得 到 的 定 时 波 形 清 楚 可 以 理 解, 并 可 以 简 便 地 与 预 计 数 据 比 较, 确 定 设 备 工 作 正 常 这 些 定 时 波 形 通 常 是 搜 索 影 响 信 号 完 整 性 的 信 号 问 题 的 起 点 在 反 汇 编 程 序 和 处 理 器 支 持 套 件 的 帮 助 下, 可 以 进 一 步 理 解 这 些 结 果, 反 汇 编 程 序 和 处 理 器 支 持 套 件 允 许 逻 辑 分 析 仪 把 实 时 软 件 轨 迹 ( 与 源 代 码 相 关 ) 与 低 级 硬 件 活 动 关 联 起 来, 如 图 33 所 示 但 是, 并 不 是 所 有 逻 辑 分 析 仪 都 适 合 分 析 当 前 数 据 速 率 极 高 ( 而 且 仍 在 提 高!) 的 信 号 完 整 性 图 34 提 供 了 某 些 指 标 准 则, 在 选 择 逻 辑 分 析 仪 进 行 高 级 信 号 完 整 性 调 试 时 应 该 考 虑 这 些 准 则 如 果 只 是 看 重 采 样 率 和 存 储 器 容 量, 那 么 很 容 易 会 忽 视 逻 辑 分 析 仪 中 的 触 发 功 能 但 触 发 通 常 是 找 到 问 题 最 快 捷 的 途 径 毕 竟, 如 果 逻 辑 分 析 仪 触 发 错 误, 那 么 表 明 错 误 已 经 发 生 大 多 数 当 前 逻 辑 分 析 仪 包 括 多 种 触 发 功 能, 可 以 检 测 影 响 信 号 完 整 性 的 某 些 事 件, 如 毛 刺 及 建 立 时 间 和 保 持 时 间 违 规 可 以 一 次 在 数 百 条 通 道 中 运 用 这 些 触 发 条 件, 这 是 逻 辑 分 析 仪 独 有 的 优 势 24

25 串 行 数 据 多 年 来, 宽 同 步 并 行 总 线 一 直 是 数 字 器 件 之 间 交 换 数 据 的 既 定 技 术 方 法 通 过 并 行 传 送 多 个 位, 这 些 数 据 总 线 技 术 的 通 信 速 度 似 乎 要 超 过 串 行 ( 顺 序 ) 传 输 技 术 遗 憾 的 是, 在 并 行 总 线 中, 定 时 同 步 ( 偏 移 ) 在 较 高 的 时 钟 频 率 和 数 据 速 率 下 变 成 了 问 题, 有 效 限 制 了 并 行 总 线 传 输 的 速 度 此 外, 在 支 持 扩 展 距 离 实 现 成 本 和 最 终 用 户 成 本 方 面, 其 面 临 着 重 大 挑 战 相 比 之 下, 串 行 总 线 只 发 送 一 条 码 流, 自 行 提 供 时 钟 输 入, 从 而 消 除 了 数 据 和 时 钟 之 间 的 定 时 偏 移, 即 同 时 发 送 的 位 到 达 时 间 差 在 串 行 传 输 中, 同 步 远 不 是 什 么 问 题, 而 整 体 吞 吐 量 则 是 更 加 突 出 的 问 题 然 而, 在 通 过 技 术 进 步 消 除 一 种 性 能 障 碍 的 同 时, 另 一 个 障 碍 又 出 现 了 速 度 更 快 的 新 技 术 解 决 了 这 种 挑 战, 但 提 高 了 设 计 复 杂 性, 不 断 变 化 的 标 准 创 造 出 更 大 的 新 的 设 计 挑 战, 可 能 会 妨 碍 产 品 开 发 周 期, 提 高 开 发 成 本 多 种 新 型 串 行 数 据 总 线 结 构 提 供 的 数 据 吞 吐 量 较 前 几 年 提 高 了 一 个 量 级, 包 括 PCI-Express XAUI RapidIO HDMI 和 SATA 由 于 这 么 高 的 复 杂 性 和 这 么 大 的 变 化, 您 需 要 测 试 解 决 方 案, 帮 助 您 迅 速 简 便 地 找 到 和 解 决 设 计 问 题 泰 克 提 供 了 完 整 的 串 行 数 据 测 试 解 决 方 案, 使 您 能 够 开 发 产 品, 保 证 满 足 最 新 的 串 行 数 据 测 试 要 求 图 35. 逻 辑 分 析 仪 显 示 画 面, 显 示 了 PCI Express 2.0 的 数 字 验 证 和 调 试 例 如,TLA 系 列 串 行 分 析 仪 模 块 为 PCI Express 验 证 提 供 了 一 种 创 新 方 法, 从 物 理 层 到 事 务 层, 涵 盖 了 所 有 协 议 层 此 外,TLA 系 列 串 行 分 析 仪 模 块 拥 有 无 可 比 拟 的 物 理 层 事 件 捕 获 和 触 发 能 力, 不 管 是 问 题 存 在 于 链 路 培 训 过 程 中, 还 是 链 路 进 出 电 源 管 理 状 态 全 面 支 持 L0s 和 L1 电 源 管 理 至 关 重 要, 因 为 节 能 技 术 在 系 统 设 计 中 正 越 来 越 流 行 各 种 分 析 工 具 完 善 了 TLA7Sxx 系 列 串 行 分 析 仪 采 集 功 能, 这 些 工 具 提 供 了 协 议 解 码 和 错 误 报 告 功 能, 如 图 35 所 示 25

26 入 门 手 册 小 结 逻 辑 分 析 仪 是 各 级 数 字 调 试 不 可 或 缺 的 工 具 随 着 数 字 设 计 的 速 度 和 复 杂 性 不 断 提 高, 逻 辑 分 析 仪 解 决 方 案 必 须 作 出 反 应 它 们 必 须 提 供 相 应 的 速 度, 能 够 捕 获 最 快 最 短 暂 的 异 常 事 件 ; 拥 有 相 应 的 容 量, 能 够 以 高 分 辨 率 查 看 所 有 通 道 ; 拥 有 相 应 的 存 储 深 度, 能 够 解 析 多 个 周 期 中 数 十 个 数 百 个 甚 至 数 千 个 信 号 之 间 的 关 系 本 文 参 考 了 可 以 满 足 这 些 要 求 的 泰 克 TLA 系 列 逻 辑 分 析 仪 我 们 已 经 看 到 触 发 ( 及 其 使 用 方 式 ) 高 分 辨 率 采 样 及 通 过 同 一 只 探 头 同 时 采 集 定 时 信 息 和 状 态 信 息 等 创 新 功 能 可 以 怎 样 提 高 逻 辑 分 析 仪 的 效 率 触 发 可 以 确 认 怀 疑 的 问 题, 或 发 现 完 全 意 想 不 到 的 错 误 最 重 要 的 是, 触 发 提 供 了 各 种 各 样 的 工 具, 可 以 测 试 猜 测 的 问 题, 或 定 位 间 歇 性 事 件 逻 辑 分 析 仪 的 触 发 选 项 范 围 标 志 着 其 用 途 的 广 泛 程 度 高 分 辨 率 采 样 结 构, 如 MagniVu 采 集, 可 以 发 现 与 信 号 行 为 有 关 的 没 有 见 过 的 细 节 更 频 繁 地 采 样, 如 MagniVu 采 集, 可 以 提 高 检 测 到 二 进 制 数 据 变 化 的 机 会, 包 括 故 意 变 化 或 非 故 意 变 化 通 过 一 只 探 头 同 时 采 集 状 态 数 据 和 高 速 定 时 数 据 的 概 念 已 经 出 现 这 种 功 能 正 越 来 越 多 地 帮 助 设 计 人 员 收 集 大 量 的 与 设 备 有 关 的 数 据, 然 后 分 析 定 时 图 与 高 级 状 态 活 动 之 间 的 关 系 其 它 相 关 视 图 也 可 以 为 调 试 提 供 支 持 : 时 间 相 关 的 模 拟 波 形 和 数 字 波 形, 列 表 图 和 协 议 图, 多 通 道 眼 图, 实 时 软 件 轨 迹, 直 方 图, 等 等 一 系 列 其 它 特 点, 如 采 集 存 储 器 显 示 和 分 析 功 能 集 成 模 拟 工 具 甚 至 模 块 化, 使 得 逻 辑 分 析 仪 成 为 快 速 查 找 数 字 问 题, 满 足 激 进 的 设 计 时 间 表 的 首 选 工 具 业 内 领 先 的 TLA 系 列 逻 辑 分 析 仪 已 经 得 到 改 进, 可 以 满 足 当 前 挑 战, 并 将 继 续 迎 接 新 出 现 的 挑 战 26

27 术 语 表 ( 为 便 于 参 考, 术 语 表 中 还 包 括 本 文 件 中 没 有 使 用 的 常 见 术 语 ) A Amplitude ( 幅 度 ): 信 号 的 量 级 或 强 度 在 电 子 中, 幅 度 通 常 指 电 压 或 功 率 Analog-to-Digital Converter( 模 数 转 换 器 )(ADC): 一 种 数 字 电 子 元 器 件, 把 电 信 号 转 换 成 离 散 的 二 进 制 值 Analog Signal ( 模 拟 信 号 ): 一 种 具 有 连 续 可 变 电 压 的 信 号 Attenuation( 衰 减 ): 信 号 从 一 个 点 传 送 到 另 一 个 点 过 程 中 信 号 幅 度 下 降 Asynchronous( 异 步 ): 非 同 步 逻 辑 分 析 仪 运 行 自 己 的 采 样 时 钟 时 钟 是 独 立 的, 不 知 道 被 测 设 备 上 的 定 时 这 是 定 时 采 集 模 式 的 基 础 B Bandwidth( 带 宽 ): 一 种 频 率 范 围, 通 常 由 -3 db 限 定 Ball Grid Array ( 球 栅 阵 列 )(BGA): 一 种 集 成 电 路 封 装 方 式 Bit( 位 ): 一 种 二 进 制 字 符, 其 状 态 可 以 是 1 或 0 Byte( 字 节 ): 一 种 数 字 信 息 单 位, 通 常 由 8 位 组 成 C Cursor( 光 标 ): 一 种 屏 幕 上 的 标 记, 可 用 与 波 形 对 准, 进 行 更 准 确 的 测 量 D Decibel ( 分 贝 )(db): 用 来 表 示 两 个 电 信 号 之 间 相 对 功 率 差 的 单 位, 等 于 两 个 电 平 之 比 的 常 用 对 数 乘 以 10 Digital Signal ( 数 字 信 号 ): 一 种 用 离 散 二 进 制 数 字 表 示 其 电 压 样 点 的 信 号 Digital Oscilloscope ( 数 字 示 波 器 ): 一 种 示 波 器, 使 用 模 数 转 换 器 (ADC) 把 测 得 的 电 压 转 换 成 数 字 信 息 它 分 成 三 种 : 数 字 存 储 示 波 器 数 字 荧 光 示 波 器 和 数 字 采 样 示 波 器 Digital Phosphor Oscilloscope ( 数 字 荧 光 示 波 器 )(DPO): 一 种 数 字 示 波 器, 其 模 型 与 模 拟 示 波 器 的 显 示 特 点 非 常 类 似, 同 时 提 供 了 传 统 数 字 示 波 器 的 优 点 ( 波 形 存 储 自 动 测 量 等 等 ) DPO 使 用 并 行 处 理 结 构, 把 信 号 传 送 到 光 栅 类 型 显 示 器 上, 实 时 提 供 信 号 特 点 的 辉 度 等 级 视 图 DPO 使 用 三 个 维 度 显 示 信 号 : 幅 度 时 间 幅 度 在 时 间 上 的 分 布 Digital Sampling Oscilloscope ( 数 字 采 样 示 波 器 ): 一 种 数 字 示 波 器, 采 用 等 效 时 间 采 样 方 法, 捕 获 和 显 示 信 号 样 点, 特 别 适 合 准 确 地 捕 获 频 率 成 分 比 示 波 器 采 样 率 高 得 多 的 信 号 27

28 入 门 手 册 Digital Storage Oscilloscope ( 数 字 存 储 示 波 器 )(DSO): 一 种 数 字 示 波 器, 它 通 过 数 字 采 样 采 集 信 号 ( 使 用 模 数 转 换 器 ) 它 使 用 并 行 处 理 结 构, 控 制 采 集 用 户 界 面 和 光 栅 显 示 Digitize ( 数 字 化 ): 水 平 系 统 中 的 模 数 转 换 器 (ADC) 在 离 散 时 点 对 信 号 采 样, 然 后 在 这 些 点 中 把 信 号 电 压 转 换 成 数 字 值 ( 称 为 样 点 ) 的 过 程 Dual Inline Memory Module ( 双 列 直 插 存 储 器 模 块 ) (DIMM): PC 平 台 中 动 态 随 机 访 问 存 储 器 使 用 的 流 行 的 封 装 方 式 Dynamic Random Access Memory ( 动 态 随 机 访 问 存 储 器 )(DRAM): 一 种 存 储 器, 在 单 独 的 电 容 器 中 存 储 每 个 数 据 位 Device Under Test ( 被 测 器 件 )(DUT): 测 量 仪 器 测 试 的 器 件 F Fully Buffered Dual Inline Memory Module ( 全 面 缓 冲 双 列 直 插 赶 集 器 模 块 )(FB-DIMM): 一 种 下 一 代 存 储 器 结 构 Fine-pitch Ball Grid Array ( 精 细 间 隙 球 栅 阵 列 )(FBGA): 一 种 集 成 电 路 封 装 方 式 Frequency( 频 率 ): 信 号 在 一 秒 内 重 复 的 次 数, 单 位 为 赫 兹 ( 每 秒 周 期 数 ) 频 率 等 于 1/ 周 期 G Gigabit ( 千 兆 位 )(Gb): 10 亿 个 单 位 信 息 H Hertz ( 赫 兹 )(Hz): 每 秒 一 个 周 期, 频 率 单 位 I Input/Output ( 输 入 / 输 出 )(I/O): 一 般 指 进 出 设 备 的 信 号 Integrated Circuit ( 集 成 电 路 ) (IC): 一 套 元 器 件 及 芯 片 上 蚀 刻 或 印 刷 的 互 连 icapture TM Multiplexing(iCapture TM 复 用 ): 通 过 一 只 逻 辑 分 析 仪 探 头 同 时 提 供 数 字 采 集 和 模 拟 采 集 ilink TM Toolset(iLink TM 工 具 集 ): 由 专 门 设 计 的 多 个 单 元 组 成, 以 加 快 问 题 检 测 和 调 试 速 度, 包 括 :icapture TM 复 用 iview TM 显 示 和 iverify TM 分 析 iview TM Display(iView TM 显 示 ): 在 逻 辑 分 析 仪 显 示 画 面 上 提 供 时 间 相 关 的 逻 辑 析 仪 和 示 波 器 集 成 测 量 iverify TM Analysis(iVerify TM 分 析 ): 使 用 示 波 器 生 成 的 眼 图 提 供 多 通 道 总 线 分 析 和 验 证 测 试 K Kilohertz ( 千 赫 )(khz): 1000 赫 兹 L Loading( 负 荷 ): 探 头 和 示 波 器 与 被 测 电 路 之 间 无 意 的 交 互, 其 会 使 信 号 失 真 Logic Analyzer ( 逻 辑 分 析 仪 ): 用 来 查 看 多 个 数 字 信 号 逻 辑 状 态 随 时 间 变 化 的 仪 器 它 分 析 数 字 数 据, 可 以 作 为 实 时 软 件 执 行 数 据 流 量 值 状 态 序 列 等 表 示 数 据 Gigabyte ( 千 兆 字 节 )(GB): 10 亿 字 节 信 息 Gigahertz ( 千 兆 赫 )(GHz): 10 亿 赫 兹 Glitch ( 毛 刺 ): 电 路 中 间 歇 性 的 高 速 错 误 Gigatransfers per second ( 每 秒 传 送 千 兆 次 )(GT/s): 每 秒 10 亿 次 数 据 传 送 28

29 M MagniVu TM Acquisition (MagniVu TM 采 集 技 术 ): TLA 系 列 逻 辑 分 析 仪 核 心 采 用 的 独 特 的 高 分 辨 率 采 样 结 构 MagniVu 采 集 技 术 以 更 高 的 分 辨 率 提 供 了 触 发 点 周 围 的 信 号 活 动 的 动 态 记 录 Megabit ( 兆 位 )(Mb): 100 万 个 信 息 单 位 Megabyte ( 兆 字 节 )(MB): 100 万 字 节 信 息 Megahertz ( 兆 赫 )(MHz): 100 万 赫 兹 Megasamples per second ( 每 秒 兆 样 点 )(MS/s): 一 种 采 样 率 单 位, 等 于 每 秒 100 万 样 点 Microsecond ( 微 秒 )(µs): 一 种 时 间 单 位, 等 于 秒 Millisecond ( 毫 秒 )(ms): 一 种 时 间 单 位, 等 于 秒 Motherboard ( 主 板 ): 计 算 机 的 主 系 统 电 路 板, 其 中 包 括 处 理 器 存 储 控 制 器 硬 盘 控 制 器 输 入 / 输 出 接 口 芯 片 组 等 等 其 它 电 路 板 ( 如 DIMMs 和 视 频 卡 ) 插 入 到 主 板 中 Megatransfers per Second ( 每 秒 传 送 百 万 次 )(MT/s): 每 秒 100 万 次 数 据 传 送 N Nanosecond ( 纳 秒 )(ns): 一 种 时 间 单 位, 等 于 秒 Noise( 噪 声 ): 电 路 中 不 想 要 的 电 压 或 电 流 P Period ( 周 期 ): 一 个 波 完 成 一 个 周 期 所 用 的 时 间 周 期 等 于 1/ 频 率 Pre-Trigger Viewing( 触 发 前 查 看 ): 数 字 仪 器 捕 获 触 发 事 件 前 信 号 行 为 的 能 力 确 定 触 发 点 前 和 触 发 点 后 看 得 见 的 信 号 长 度 Probe ( 探 头 ): 一 种 测 量 仪 器 输 入 设 备, 通 常 有 带 尖 的 金 属 尖 端 ( 与 电 路 单 元 形 成 电 气 接 触 ) 连 接 电 路 接 地 参 考 的 引 线 及 用 来 传 送 信 号 及 接 地 仪 器 的 软 电 缆 Pulse( 脉 冲 ): 一 种 常 见 的 拥 有 快 速 上 升 沿 宽 度 和 快 速 下 降 沿 的 波 形 形 状 Pulse Train( 脉 冲 串 ): 一 起 传 送 的 脉 冲 集 合 Pulse Width( 脉 宽 ): 脉 冲 从 低 到 高, 然 后 再 回 到 低 所 需 的 时 间, 一 般 在 全 部 电 压 的 50% 处 测 量 R Random Access Memory ( 随 机 访 问 存 储 器 )(RAM): 可 以 以 任 何 顺 序 访 问 信 息 的 一 种 存 储 设 备 Ramps( 斜 坡 ): 以 恒 定 速 率 改 变 的 正 弦 波 电 压 电 平 之 间 的 跳 变 Record Length ( 记 录 长 度 ): 用 来 创 建 一 个 信 号 记 录 的 波 形 点 数 Rise Time ( 上 升 时 间 ): 脉 冲 前 沿 从 低 值 上 升 到 高 值 所 需 的 时 间, 一 般 测 量 从 10% 上 升 到 90% 所 需 的 时 间 O Oscilloscope ( 示 波 器 ): 用 来 查 看 电 压 随 时 间 变 化 的 仪 器 示 波 器 来 自 于 oscillate ( 振 荡 ), 因 为 通 常 使 用 示 波 器 测 量 振 荡 的 电 压 29

30 入 门 手 册 S Sampling( 采 样 ): 把 部 分 输 入 信 号 转 换 成 离 散 的 电 气 值, 以 由 仪 器 存 储 处 理 和 / 或 显 示 Sample Point( 样 点 ): 来 自 ADC 的 原 始 数 据, 用 来 计 算 波 形 点 Sample Rate( 采 样 率 ): 指 数 字 测 量 仪 器 对 信 号 采 样 的 频 次, 单 位 为 每 秒 样 点 数 (S/s) Signal Integrity ( 信 号 完 整 性 ): 准 确 重 建 信 号, 取 决 于 仪 器 的 系 统 和 性 能 考 虑 因 素 及 采 集 信 号 使 用 的 探 头 Signal Source ( 信 号 源 ): 一 种 测 试 设 备, 用 来 把 信 号 注 入 到 电 路 输 入 中 ; 然 后 由 测 量 仪 器 读 取 电 路 输 出 也 称 为 信 号 发 生 器 System Under Test ( 被 测 系 统 )(SUT): 测 量 仪 器 测 试 的 系 统 T Trigger( 触 发 器 ): 测 量 仪 器 上 参 考 水 平 扫 描 的 电 路 Trigger Holdoff ( 触 发 释 抑 ): 一 种 控 制 功 能, 允 许 调 节 有 效 触 发 后 的 时 间 周 期, 在 此 期 间, 仪 器 将 不 能 触 发 Trigger Level ( 触 发 电 平 ): 在 触 发 电 路 启 动 扫 描 前 触 发 源 信 号 必 须 达 到 的 电 压 电 平 V Volt( 伏 特 ) (V): 电 位 差 单 位 Voltage( 电 压 ): 两 点 之 间 的 电 位 差, 单 位 为 伏 特 W Wave( 波 ): 随 时 间 推 移 重 复 的 码 型 的 通 称 常 见 类 型 包 括 : 正 弦 波, 方 波, 矩 形 波, 锯 齿 波, 三 角 波, 阶 跃 波, 脉 冲 波, 周 期 波, 非 周 期 波, 同 步 波, 异 步 波 Synchronous( 同 步 ): 同 步 化 逻 辑 分 析 仪 状 态 采 集 称 为 同 步, 因 为 逻 辑 分 析 仪 从 外 部 来 源 接 收 时 钟 信 息, 通 常 是 DUT 这 会 导 致 两 个 系 统 被 同 步, 逻 辑 分 析 仪 只 在 DUT 活 动 时 才 采 集 数 据 这 称 为 状 态 采 集 模 式 30

31 泰 克 科 技 ( 中 国 ) 有 限 公 司 上 海 市 浦 东 新 区 川 桥 路 1227 号 邮 编 : 电 话 :(86 21) 传 真 :(86 21) 泰 克 北 京 办 事 处 北 京 市 海 淀 区 花 园 路 4 号 通 恒 大 厦 1 楼 101 室 邮 编 : 电 话 :(86 10) 传 真 :(86 10) 泰 克 上 海 办 事 处 上 海 市 徐 汇 区 宜 山 路 900 号 科 技 大 楼 C 楼 7 楼 邮 编 : 电 话 :(86 21) 传 真 :(86 21) 泰 克 深 圳 办 事 处 深 圳 市 福 田 区 南 园 路 68 号 上 步 大 厦 21 层 G/H/I/J 室 邮 编 : 电 话 :(86 755) 传 真 :(86 755) 泰 克 成 都 办 事 处 成 都 市 锦 江 区 三 色 路 38 号 博 瑞 创 意 成 都 B 座 1604 邮 编 : 电 话 :(86 28) 传 真 :(86 28) 泰 克 西 安 办 事 处 西 安 市 二 环 南 路 西 段 88 号 老 三 届 世 纪 星 大 厦 20 层 K 座 邮 编 : 电 话 :(86 29) 传 真 :(86 29) 泰 克 武 汉 办 事 处 武 汉 市 解 放 大 道 686 号 世 贸 广 场 1806 室 邮 编 : 电 话 :(86 27) /2831 泰 克 香 港 办 事 处 香 港 九 龙 尖 沙 咀 弥 敦 道 132 号 美 丽 华 大 厦 室 电 话 :(852) 传 真 :(852) 更 多 信 息 泰 克 公 司 备 有 内 容 丰 富 的 各 种 应 用 手 册 技 术 介 绍 和 其 他 资 料, 并 不 断 予 以 充 实, 以 帮 助 那 些 从 事 前 沿 技 术 研 究 的 工 程 师 们 请 访 问 : 版 权 2010, 泰 克 公 司 泰 克 公 司 保 留 所 有 权 利 泰 克 公 司 的 产 品 受 美 国 和 国 外 专 利 权 保 护, 包 括 已 发 布 和 尚 未 发 布 的 产 品 以 往 出 版 的 相 关 资 料 信 息 由 本 出 版 物 的 信 息 代 替 泰 克 公 司 保 留 更 改 产 品 规 格 和 定 价 的 权 利 TEKTRONIX 和 TEK 是 泰 克 有 限 公 司 的 注 册 商 标 所 有 其 他 相 关 商 标 名 称 是 各 自 公 司 的 服 务 商 标 或 注 册 商 标 10/10 Internal/WW 52C

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

/...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22...

/...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22... Keysight Technologies /...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22....22...22...22.........................

More information

55C

55C DDR 存 储 器 电 气 特 性 验 证 应 用 文 章 几 乎 每 一 个 电 子 设 备, 从 智 能 手 机 到 服 务 器, 都 使 用 了 某 种 形 式 的 RAM 存 储 器 尽 管 闪 存 NAND 继 续 流 行 ( 由 于 各 式 各 样 的 消 费 类 电 子 产 品 的 流 行 ), 由 于 SDRAM 为 相 对 较 低 的 每 比 特 成 本 提 供 了 速 度 和 存

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

公安机关业务管理与执法实务全书(八).doc

公安机关业务管理与执法实务全书(八).doc ............................................. I ........................... ( )......... II ,, , , ( ) ( ) ( ) ( ) : ( ) ; ( ) ; ( ) ( ) ; ( ) ; ( ) ( ) ; ( ),, : , : ( ) ; ( ), ; ( ) ; ( ) : ( ) ; ( )

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

Microsoft PowerPoint - STU_EC_Ch01.ppt

Microsoft PowerPoint - STU_EC_Ch01.ppt 樹德科技大學資訊工程系 Chapter 1: Digital Concepts Shi-Huang Chen Sept. 2010 1 Chapter Outline 1.1 Digital and Analog Quantities 1.2 Binary Digits, Logic Level, and Digital Waveform 1.3 Basic Logic Operations 1.4

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat 硬 件 参 考 指 南 HP Compaq 8100 Elite 可 转 换 小 型 立 式 商 用 PC 和 HP Z200 可 转 换 小 型 立 式 工 作 站 Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 介 绍 PCI Express 测 量 目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 定 时 测 量...9 抖 动 测 量...10 实 时 眼

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

2002/06/25

2002/06/25 2002/06/25 ... 1 GPU... 1 1.... 1 2.... 1 3.... 2 4.... 2 5.... 2 6. MX460... 3 6.1... 3 6.2... 4 7. MX440... 5 7.1... 5 7.2... 6 8. MX420... 7 8.1... 7 8.2... 8 9. MX420D... 9 9.1... 9 9.2... 10 10....11

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

由 于 该 采 用 了 精 密 的 RF 设 计, 因 而 还 具 有 非 常 出 色 的 宽 带 噪 声 指 标 ( 典 型 值 < 160 dbc (1 Hz) 1 GHz 载 波 频 率 ), 而 要 达 到 同 样 大 小 的 值, 传 统 发 生 器 一 般 只 有 在 频 率 合 成 器

由 于 该 采 用 了 精 密 的 RF 设 计, 因 而 还 具 有 非 常 出 色 的 宽 带 噪 声 指 标 ( 典 型 值 < 160 dbc (1 Hz) 1 GHz 载 波 频 率 ), 而 要 达 到 同 样 大 小 的 值, 传 统 发 生 器 一 般 只 有 在 频 率 合 成 器 44434/5 图 1 R&S SMA100A 拥 有 出 色 的 性 能 紧 凑 的 设 计 和 优 惠 的 价 格 R&S SMA100A 几 乎 可 满 足 任 何 测 试 需 求 的 模 拟 信 号 质 量 速 度 灵 活 性 这 些 都 是 当 今 衡 量 的 标 准 R&S SMA100A 的 设 计 完 美, 可 充 分 满 足 这 些 标 准, 因 此 它 是 一 款 质 量 优 异

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

Microsoft Word 電子構裝結構分析1221.doc

Microsoft Word 電子構裝結構分析1221.doc 電 子 構 裝 結 構 分 析 徐 祥 禎 ( 義 守 大 學 機 械 與 自 動 化 工 程 學 系 副 教 授 ) 前 言 電 子 構 裝 (Electronic Packaging), 主 要 是 利 用 固 定 接 著 技 術, 將 積 體 電 路 (Integrated Circuit, IC) 晶 片 固 定 在 承 載 襯 墊 (Die Pad) 上, 並 利 用 細 微 連 接 技

More information

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA)

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA) LP5-970060 HP (EDA) HP (GIS) HP OEM HP z400 z600/xw6000 z800 xw9000 CPU 8 intel base 6SATA channel SAS Hard Disk PCI-X 192GB Memory CAE D H D SDHD CPU APRAM CPU, AMD Dual core Model 3D 64GB Memory SCI

More information

P3C2000 JumperFree TM Camino

P3C2000 JumperFree TM Camino P3C2000 JumperFree TM Camino 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 1 2 3 4 5 6 7 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 13 USB

More information

HDMI HDMI Licensing HDMI / 29% 11%27% 7%13%8% 5% 5000 HDMI DVD A/V / HDMI Media Center PC HDMI FCC (digital cable-ready)36 50%

HDMI HDMI Licensing HDMI / 29% 11%27% 7%13%8% 5% 5000 HDMI DVD A/V / HDMI Media Center PC HDMI FCC (digital cable-ready)36 50% HDMI/DVI HMDI/DVI HDMI/DVI DVI (Digital Video 1 DVI/HDMI Interface) 5 LCD DVI HDMI (High-Definition Multimedia Interface) HDMI DVI HDMI DVI DVI HDMI DVI DDWG(Digital Display Working Group) Silicon Image

More information

P3V4X JumperFree TM

P3V4X JumperFree TM P3V4X JumperFree TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 1 2 3 4 5 6 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 19.3cm (7.6in) COM1 COM2 PS2KBMS JTPWR

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

IBM System x 系列手册

IBM System x 系列手册 IBM Systems and Technology System x IBM System x IBM System x 2 IBM System x IBM System x IBM System x BladeCenter RAS IT System x BladeCenter - IT IBM - IBM X System x System x IBM System x System x BladeCenter

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

発表の概要

発表の概要 2008 09 : : (Yokogawa Electric Corporation) 1915 9 1 : : 36 (2006 3 31 ) : 19,200 : : 110 29 Headquarters Regional Headquarters Production Facilities Sales, Engineering and Service Centers : 59 2007 9

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

CONFIGURATION

CONFIGURATION QD4-069 Rer.A2 ACP-IMX6POS Reliability Prediction Report (MTBF) MTBF Number: EMTBF- ACPIMX6POS001 Release Date: 2014/09/30 Initiated by Eagle Chen Job Title Engineer Originate Date 2014/09/30 Approved

More information

高频电疗法

高频电疗法 高 频 电 疗 法 高 频 电 疗 法 频 率 大 于 100kHz 的 交 流 电 属 于 高 频 电 流 应 用 高 频 电 流 作 用 于 人 体 以 治 疗 疾 病 的 方 法, 称 高 频 电 疗 法 ( high frequency electrotherapy ) 高 频 电 疗 法 高 频 电 疗 的 作 用 方 式 有 5 种 共 鸣 火 花 放 电 法 直 接 接 触 法 电 容

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

LHAASO-WFCTA SiPM成像探头研制进展

LHAASO-WFCTA  SiPM成像探头研制进展 THE PROGRESS OF SIPM-BASED CAMERA FOR LHAASO-WFCTA Baiyang Bi, Shoushan Zhang, Lingling Ma, Liqiao Yin for the LHAASO Collaboration Outline Introduction LHAASO-WFCTA Why SiPM? Progress Design of Camera/Sub-Cluster

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

Microsoft PowerPoint - ch2-stallings.ppt

Microsoft PowerPoint - ch2-stallings.ppt Transmission Fundamentals Chapter 2 (Stallings Book) 1 Electromagnetic Signal is a function of time can also be expressed as a function of frequency Signal consists of components of different frequencies

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

ADLINK Company Profile

ADLINK Company Profile 轻 松 构 建 精 准 高 效 的 电 子 产 品 功 能 测 试 解 决 方 案 王 小 龙 Leon Wang 业 务 拓 展 经 理 测 试 与 自 动 化 产 品 电 子 产 品 的 进 化 6 英 寸 超 大 2K 高 清 屏 HIFI 级 音 频 2100W 像 素 摄 像 头 七 模 十 九 频 全 网 通 多 点 全 功 能 触 控 重 力 感 应 / 红 外 距 离 感 应 / 陀

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

スライド 1

スライド 1 ALMA ( ALMA ALMA ALMA Antenna 64 elements 12m + ACA (4 elements 12m + 12 elements 7m) Receiver Frequency Band: Band 1~10(43 ~950 GHz) Correlator 1 antenna: 4Gsps 3bit 8IF = 96Gbps 80 antennas: Total Data

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

untitled

untitled 邏 念 理 Performance You Can See 1 論 4 邏 ( LA ) 念 理 4 (support package) 4 LA : TLA 7012 & TLA 7016 4 Q & A 2 3 邏 念 理 Basic concept of Logic analyzer 4 什 LA LA 4 LA 4 LA - (Probe) - (Synchronous) & (Asynchronous)

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

untitled

untitled Copyright 2008 2009/09 Microsoft MS-DOS Windows Windows Sound System Microsoft Corporation Intel Centrino Centrino Duo Pentium M Banias Calexico Intel Corporation Sound Blaster Sound Blaster Pro Creative

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

TDR Z L ( Z O Z L (TDR) TDR V reflected (Z ρ = = L Z 0 ) V incident (Z L + Z 0 ) 1 TDR ( Z L Z O V reflected 0 ( 0 1. TDR TDR ( -1 TDR TDR TDR

TDR Z L ( Z O Z L (TDR) TDR V reflected (Z ρ = = L Z 0 ) V incident (Z L + Z 0 ) 1 TDR ( Z L Z O V reflected 0 ( 0 1. TDR TDR ( -1 TDR TDR TDR TDR Rambus( USB 2.0 ( ) Firewire (IEEE 1394 (TDR) 80E04 ) TDS8000 TDR 1 www.tektronix.com/scopes/ TDR Z L ( Z O Z L (TDR) TDR V reflected (Z ρ = = L Z 0 ) V incident (Z L + Z 0 ) 1 TDR ( +1-1 0 Z L Z O

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

(Microsoft Word - \267s\274W Microsoft Office Word \244\345\245\363)

(Microsoft Word - \267s\274W Microsoft Office Word \244\345\245\363) 電 腦 主 機 板 結 構 主 機 板 ( 英 語 :Motherboard, Mainboard, 簡 稱 Mobo); 又 稱 主 板 系 統 板 邏 輯 板 母 板 底 板 等, 是 構 成 複 雜 電 子 系 統 例 如 電 子 計 算 機 的 中 心 或 者 主 電 路 板 典 型 的 主 機 板 能 提 供 一 系 列 接 合 點, 供 處 理 器 顯 示 卡 聲 效 卡 硬 碟 記 憶

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

補 充 資 料

補  充  資  料 專 責 小 組 委 員 會 會 議 紀 錄 嘉 南 藥 理 科 技 大 學 獎 勵 補 助 經 費 專 責 規 畫 小 組 組 織 要 點 民 國 92 年 3 月 5 日 校 務 會 議 修 訂 通 過 民 國 97 年 6 月 18 日 校 務 會 議 修 訂 通 過 民 國 99 年 1 月 13 日 校 務 會 議 修 訂 通 過 民 國 100 年 8 月 19 日 行 政 會 議 修 訂

More information

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 用 户 手 册 版 本 :V1.5 2012-04-19 购 买 链 接 :http://armfly.taobao.com 第 1 页 共 87 页 感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器,

More information

K7M SLOT 1

K7M SLOT 1 K7M SLOT 1 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 1 2 3 4 5 6 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 USB PS/2 COM1 COM2 CPU Core Voltage Setting

More information

A5katalog_fina CNl.indd

A5katalog_fina CNl.indd System DIO 2000 工 业 测 量 系 统 的 完 整 解 决 方 案 STARMANS DIO 2000 软 件 DIO 2000 一 般 功 能 该 系 统 的 超 声 通 道 设 计 为 独 立 的 超 声 插 入 单 元 ( 模 块 ), 每 个 模 块 都 有 独 立 的 微 处 理 器 控 制 和 信 号 处 理 功 能 插 入 单 元 ( 尺 寸 为 100 x 160 毫

More information

(Microsoft Word - 92\246~\263\370)

(Microsoft Word - 92\246~\263\370) 壹 致 股 東 報 告 書 九 十 一 年 為 創 見 收 穫 頗 豐 之 年 度, 雖 產 業 環 境 仍 處 於 不 佳 狀 態, 但 在 創 見 公 司 全 體 同 仁 辛 勤 耕 耘 之 下, 我 們 仍 能 順 利 達 成 財 務 目 標 : 營 收 為 64.55 億 元, 較 九 十 年 度 47.16 億 元 大 幅 成 長 37% 稅 後 淨 利 10.82 億 元, 每 股 稅

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

版本说明书

版本说明书 i CHGM-36M * CHGM-36C * CHGM-130M CHGM-130C CHGM-120M * CHGM-120C * CHGC-120M CHGC-120C CHGC-300M CHGC-300C CHGM-530M-1 CHGM-530C-1 CHGM-600C CHGM-600M-1 * CHGM-600M CHGC-30M CHGC-30C CHGM-230 CHGM-230C

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9> 国 信 证 券 股 份 有 限 公 司 关 于 杭 州 远 方 光 电 信 息 股 份 有 限 公 司 发 行 股 份 及 支 付 现 金 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 之 独 立 财 务 顾 问 报 告 ( 修 订 稿 ) 独 立 财 务 顾 问 签 署 日 期 : 二 O 一 六 年 二 月 独 立 财 务 顾 问 声 明 与 承 诺 国 信 证 券 股 份 有

More information

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD ( GBT ) GBT GBT, GBT 2002 4 12 1 AP128DG-H 1 11 3 12 AP128DG-H 3 13 ATiRADEON TM 8500 4 2 21 5 22 6 23 7 3 31 Win 98/98SE, WinME Win XP 9 311 9 312 Direct X 10 313

More information

untitled

untitled : TE251532 ( ) N7510, NDL-2755T CNS 13438 95 ( ) (SL2-IN/R1/R2/A1-E-0012) 101 11 22 102 12 12 01 ...iii...1 1....2 1.1...2 1.2...2 1.3...3 1.4...4 2....5 2.1...5 2.2...6 2.3...7 3....8 4....9 4.1...9 4.2...9

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 :ir@mediatek.com 代 理 發 言 人 : 姓 名 : 梁 厚 誼 職 稱 : 投 資 人 關 係 處 處 長 聯

More information

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322 Keysight Technologies 53200A / / Keysight 53200 RF 12 20 ps 350 MHz 6 15 GHz 12 20 ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 53220A, 53210A, 53220A,

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu No.19 DCE Devices July 1, 2004 2004 6 15 PCI Express Developers Conference 2004 Intel 915P 915G 925X LGA775 P4 -- PCI Express Chipset HubLink Ultra V-Link, PCI Express Desktop.Mobile, Enterprise HyperTransport,

More information

行业

行业 PCI-1751 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...3 2.2.3 JP4...4 2.2.4...4 2.3...5 2.3.1...6 2.3.2...7 2.4...12 2.4.1...13 2.4.2...13 2.4.3...14 3.1...16 3.1.1 /...16 3.1.2 /...17

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

QIANZHENYU 2

QIANZHENYU 2 2009-8-7 QIANZHENYU 1 . 1.1 2001 2001 12 3 2009-8-7 QIANZHENYU 2 9 19 132 19 2009-8-7 QIANZHENYU 3 1.2 GB/T4765-1995 2009-8-7 QIANZHENYU 4 1.3 2009-8-7 QIANZHENYU 5 . 2.1 Electromagnetic Environment 2.2

More information

_3_業強91年上櫃[財報]-1.doc

_3_業強91年上櫃[財報]-1.doc ( ) ( ) 49,162 1,500 (88) ()18311 88.12.31 87.12.31 1100 $ 43,364 4 7,102 1 1120 ( ) 53,732 4 47,639 4 1140 ( ) 106,205 9 72,018 6 1150 ( ) 13,467 1 6,932 1 1210 ( ) 179,284 15 290,179 24 1260 11,358 1

More information

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚 第 二 章 认 识 我 的 计 算 机 从 办 公 打 字 到 电 脑 游 戏 从 信 息 检 索 到 手 机 娱 乐 从 社 交 网 络 到 电 子 商 务 从 电 影 大 片 到 航 天 飞 行, 到 处 都 有 计 算, 到 处 都 离 不 开 计 算 机 它 作 为 信 息 处 理 的 关 键 设 备, 已 成 为 现 代 社 会 人 们 生 产 与 生 活 的 基 本 工 具, 在 国 民

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

LP / / / / / /

LP / / / / / / LP5-990091 / / / / / / 個人電腦之主機 LP5-990091 13 14 15 16 OptiPlex 790 OptiPlex 790 OptiPlex 790 OptiPlex 790 16,757 16,557 13,725 13,525 ( / ) 17,865 17,651 14,632 14,419 Intel Core i3-2100 Intel Core i3-2100

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

第一章标准答案.doc

第一章标准答案.doc Andrew S. Tanenbaum -6 SAP 87.6M -7 [ ] -8 04 04 048 04 048-9 4 3 a b c -0 - - OSI TCP/IP OSI TCP/IP OSI TCP/IP n -4 n( p) p = ( p) -5 OSI mailto:wdg98@63.net -7 n m h [ ] hn/(hn+m)*00% [ OSI TCP/IP ]

More information

附录八:验收监测表格式

附录八:验收监测表格式 建 设 项 目 环 保 设 施 竣 工 验 收 监 测 表 验 字 (2015) 第 031 号 项 目 名 称 : 臻 顺 ( 潮 安 ) 化 工 食 品 设 备 有 限 公 司 不 锈 钢 制 品 生 产 项 目 委 托 单 位 : 臻 顺 ( 潮 安 ) 化 工 食 品 设 备 有 限 公 司 潮 州 市 环 境 保 护 监 测 站 二 O 一 五 年 三 月 承 担 单 位 : 潮 州 市

More information

0523内页正稿-改风格

0523内页正稿-改风格 1100MP 8,999 Dell Axim X50/X50v 300-500 4 Dell 2300MP Dell X50 416MHz 3,599 10-11 Dell E153FP 2 3 4-5 6-7 100 8-9 8-9 10-11 12 Oracle on Dell 13 5 14-15 1400 21001 15 800 x 600 2.2 1.677M 32 E232 1:1.2

More information

CARNet Wi-Fi Tx/Rx:SS * n 2x2: Mbps ac 3x3: Mbps n 3x3: Mbps 3 Aruba ac 3x3: Mbps

CARNet Wi-Fi Tx/Rx:SS * n 2x2: Mbps ac 3x3: Mbps n 3x3: Mbps 3 Aruba ac 3x3: Mbps CARNet Wi-Fi 205 5 9 802.ac 802.n.ac.n (CARNet) Wi-Fi 802.ac 802.n (AP) 9 22336 60 802.ac 802.n TCP (DUT) Wi-Fi DUT TCP (Mbps) 5dB 22336 60 IxChariot TCP 07 80 R700 R500 60 802.ac 65 58 56 53 52 49 2700

More information