图 3. 采用模拟和数字滤波进行过采样 Σ-Δ 调制器和量化噪声整形一阶 Σ-Δ 型 ADC 的功能框图如图 6.4 所示 转换器的第一部 分是 Σ-Δ 调制器, 它以采样时钟频率 kf S 所决定的速率将输 入信号转换为连续的 1 和 0 串行流 该串行输出数据流驱动 1 位 DAC, 然后从输入

Size: px
Start display at page:

Download "图 3. 采用模拟和数字滤波进行过采样 Σ-Δ 调制器和量化噪声整形一阶 Σ-Δ 型 ADC 的功能框图如图 6.4 所示 转换器的第一部 分是 Σ-Δ 调制器, 它以采样时钟频率 kf S 所决定的速率将输 入信号转换为连续的 1 和 0 串行流 该串行输出数据流驱动 1 位 DAC, 然后从输入"

Transcription

1 应用笔记 Σ-Δ 型 ADC 和 DAC Σ-Δ 概述过去几年间,Σ-Δ 架构由于在混合信号 VLSI 工艺中有助于实现高分辨率 ADC, 因而日益受到青睐 然而, 直到最近, 商业化生产这些器件所需的工艺技术尚未问世 现在,1 微米及更小的 CMOS 几何结构的制造条件已经成熟, 因此 Σ-Δ 转换器在某些类型的应用中将变得更为常见, 特别是在单芯片上集成 ADC DAC 和 DSP 功能的混合信号 IC 中,Σ-Δ 转换器的使用将尤为普遍 从概念上讲,Σ-Δ 转换器的数字特性多于模拟特性, 但这并未降低 Σ-Δ 型 ADC 的模拟部分的重要性 五阶 Σ-Δ 调制器的设计 ( 例如在双通道 18 位 ADC AD1879 中 ) 绝不是轻而易举的小事一桩, 数字滤波器同样如此 Σ-Δ 转换器本质上是一种过采样转换器, 尽管过采样只是成就整体性能的多种技术中的一种 总的说来,Σ-Δ 转换器是利用分辨率非常低 (1 位 ) 的 ADC 以极高采样速率对模拟信号进行数字化处理 但通过将过采样技术与噪声整形和数字滤波技术结合使用, 使有效分辨率得以提高 然后, 通过抽取过程降低 ADC 输出端的有效采样速率 1 位量化器和 DAC 的线性度使 Σ-Δ 型 ADC 表现出极佳的微分和积分线性度, 并且不必像其它 ADC 架构那样需要调整 Σ-Δ 转换器工作原理涉及到的关键概念包括过采样 噪声整形 ( 使用 Σ-Δ 调制器 ) 数字滤波和抽取 过采样过采样概念已在前文第三部分中讨论过, 下面的图 6.2 和图 6.3 再次阐释了这一概念 正如前文所述, 过采样的一个重要好处是降低了对模拟抗混叠滤波器的滚降要求 数字滤波器将 f S /2 和 kf f S /2 之间的量化噪声 ( 在奈奎斯特带宽范围, 其均方根值为 q/ 12, 其中 q 为 LSB 的权重 ) 从输出中消除 (k 为过采样比 ), 其结果是总信噪比提高了相当于 10log10(k) 的量 不过, 对于所获得的额外分辨率而言, 这一代价太高 : 为使信噪比提高区区 6dB(1 位 ), 就要求过采样比达到 4 为使过采样比保持在合理范围内, 可以对量化噪声的频谱进行整形, 使得绝大部分噪声落在 f S /2 与 kf S /2 之间, 只有一小部分留在 DC 与 f S /2 之间 这正是 Σ-Δ 调制器在 Σ-Δ 型 ADC 中所起到的作用 噪声谱经过调制器的整形之后, 数字滤波器就可以消除大部分量化噪声能量, 从而大幅提高总信噪比 ( 以及相应的动态范围 ) 采用模拟低通滤波器进行奈奎斯特频率采样 混合信号 VLSI 芯片的理想拓扑结构 过采样 利用 Σ-Δ 调制器进行噪声谱整形 数字滤波 抽取 可实现 16 位及更高的分辨率图 1. Σ-Δ 概念 图 2. 采用模拟低通滤波器进行奈奎斯特频率采样 Rev.0 Page 1 of 16

2 图 3. 采用模拟和数字滤波进行过采样 Σ-Δ 调制器和量化噪声整形一阶 Σ-Δ 型 ADC 的功能框图如图 6.4 所示 转换器的第一部 分是 Σ-Δ 调制器, 它以采样时钟频率 kf S 所决定的速率将输 入信号转换为连续的 1 和 0 串行流 该串行输出数据流驱动 1 位 DAC, 然后从输入信号中减去 DAC 输出 反馈控制理 论告诉我们, 如果环路的增益足够高,DAC 输出的平均值 ( 以及相应的串行位流 ) 必定接近输入信号的平均值 积 分器在频域中可以表示为一个滤波器, 其幅度响应与 1/f 成正比, 其中 f 为输入频率 时钟式锁存比较器的作用类似于斩波器, 将输入信号转换为随输入平均值而变化的高频交流信号, 因此大大降低了低频有效量化噪声 ( 对于量化噪声, 积分器的作用类似于高通滤波器 ) 最终噪声的确切频谱取决于采样速率 积分器时间常数和电压反馈的精确范围 图 4. 一阶 Σ-Δ 型 ADC Rev.0 Page 2 of 16

3 图 5.Σ-Δ 调制器波形 对于一个采样间隔内的任意给定输入值,1 位 ADC 的输出数据几乎毫无意义 只有对大量样本求平均值时, 才会产生有意义的值 由于一位数据输出具有明显的随机性, 因此很难在时域内对 Σ-Δ 调制器进行分析 如果输入信号接近正满量程, 位流中的 1 将明显多于 0 同样, 如果输入信号接近负满量程, 位流中的 0 将明显多于 1 对于接近中间电平的信号,1 的数量与 0 的数量大致相当 图 6.5 显示了两种输入条件下的积分器输出 第一种条件是输入为 0( 中间电平 ) 为了解码输出, 需使输出样本通过一个简单的数字低通滤波器, 以对每 4 个样本求平均值 滤波器的输出为 2/4, 此值代表双极性 0 如果对更多样本求平均值, 则可实现更高动态范围 例如, 对 4 个样本求平均值可以得到 2 位的分辨率, 对 8 个样本求平均值则可得到 4/8, 或者说 3 位的分辨率 在图 6.5 下方的波形中, 针对 4 个样本所获得的平均值为 3/4,8 个样本的平均值为 6/8 Σ-Δ 型 ADC 也可以视作一个后接计数器的同步电压频率转换器 如果对足够多的样本计数输出数据流中 1 的数量, 则计数器输出将能代表输入的数字值 很显然, 这种求平 均方法仅对直流或变化非常慢的输入信号有效 此外, 为了实现 N 位有效分辨率, 必须计数 2N 个时钟周期, 这将严重限制有效采样速率 对 Σ-Δ 架构的进一步分析最好是在频域中进行, 并使用图 6.6 所示的线性模型 请注意, 积分器表示为一个传递函数为 H(f) 的模拟滤波器, 该传递函数的幅度响应与输入频率成反比 量化器用一个增益级和量化噪声来模拟 使用频域分析的优势之一是可以用代数来描述信号 输出值 y 可以表示为输入端求和节点的差值 x - y 乘以模拟滤波器 ( 积分器 ) 的传递函数, 再乘以增益模块, 然后加上量化噪声 Q 如果令增益为 1, 并将传递函数表示为 1/f, 则可获得以下数学关系 : x y y Q 经变换后可得 : f x Qf y f 1 f 1 Rev.0 Page 3 of 16

4 图 6. Σ-Δ 调制器的频域线性模型 注意, 当频率 f 趋近 0 时, 输出趋近 x 且无噪声成分 频率较高时,x 的值减小, 噪声成分的值增大 对于高频输入, 输出主要由量化噪声构成 本质上, 模拟滤波器对信号有低通效应, 对噪声成分有高通效应 因此, 调制器的模拟滤波器可以视作噪声整形滤波器, 如图 6.7 所示 与一般的模拟滤波器一样, 高阶滤波器可提供更好的性能 只要采取一定的防范措施, 则 Σ-Δ 调制器也同样如此 图 6.8 所示为一个二阶 Σ-Δ 调制器, 图 6.9 比较了一阶与二阶调制器的噪声整形函数 图 6.10 显示了一阶与二阶调制器相应的带内信噪比 ( 动态范围 ) 与过采样比的关系 请注意, 一阶传递函数的斜率为 9dB/ 倍频程, 而二阶传递函数的斜率为 15dB/ 倍频程 更高阶调制器 ( 二阶以上 ) 可以实现更好的性能, 但使用简单线性模型时应极其小心, 并且需要采取复杂的设计技术才能确保稳定 图 6.10 所示的三阶环路曲线代表一种无法实现的条件, 仅供参考 在给定调制器阶数和过采样速率的情况下, 可以使用图 6.10 中的曲线确定大致可实现的 ADC 分辨率 例如, 如果过采样速率为 64x, 则理想的二阶系统能够提供约 80dB 的信噪比, 这表示 ADC 分辨率约为 13 位 虽然数字滤波器的滤波可以达到任何需要的精度, 但向外界提供 13 个以上的二进制位没有意义 增加的位不含有用的信号信息, 将淹没于噪声中 图 7. 整形量化噪声分布 图 8. 二阶 Σ-Δ 型 ADC Rev.0 Page 4 of 16

5 图 9. 一阶和二阶噪声整形函数 图 11. 数字滤波器对整形量化噪声的影响 最终数据速率的降低是利用一个称为 抽取 的过程对滤波输出进行数字化重新采样而实现的 离散时间信号的抽取如图 6.12 所示, 其中输入信号 x(n) 的采样速率需要降低 4 倍 用较低的速率 ( 抽取速率 )s(n) 对该信号重新采样 抽取也可以视作一种用来消除过采样过程所引入的冗余信号信息的方法 在 Σ-Δ 型 ADC 中, 将抽取功能与数字滤波功能合二为一是很常见的 如果运用得当, 这将能提高计算效率 图 10. 一阶 二阶和三阶环路的 SNR 与过采样比的关系 数字滤波和抽取量化噪声经过调制器的整形并被推入目标频带以上的频率之后, 就可以对此整形量化噪声应用数字滤波技术, 如图 6.11 所示 数字滤波器有两方面作用 : 一方面是相对于最终采样速率 f S, 它必须充当抗混叠滤波器 ; 另一方面是它必须滤除 Σ-Δ 调制器的噪声整形过程所产生的高频噪声 如前所述, 有限脉冲滤波器 (FIR) 只是计算输入样本的移动加权平均值 ( 权重由各个滤波器系数确定 ) 通常, 每个输入样本都对应一个滤波器输出 然而, 如果以较低的速率进行数字化重新采样, 以便抽取滤波器输出, 则不再需要针对每个输入样本计算滤波器输出 相反, 我们只需以较低的抽取速率计算滤波器输出, 从而大幅提高计算过程的效率 图 12. 离散时间信号的抽取 Rev.0 Page 5 of 16

6 但是, 如果使用无限脉冲响应 (IIR) 滤波器, 则必须针对每个输入计算输出 ( 由于存在反馈项 ), 导致抽取无法作为数字滤波过程的一部分予以执行 在某些 Σ-Δ 型 ADC 设计中, 滤波分两级执行 如果同时使用 FIR 和 IIR 滤波器, 则抽取在第一 FIR 级中执行, 最终滤波在最后的 IIR 级执行 如果两级均使用 FIR 滤波器, 则将抽取分散于这两个滤波器级通常更具效率 从以上讨论可以看出,Σ-Δ 型 ADC 数字滤波器的设计涉及到许多利弊权衡 FIR 滤波器适合抽取处理, 始终能保持稳定, 并且具有线性相位特性 ( 在音频和一些遥测应用中极其重要 ) 与 IIR 滤波器相比,FIR 滤波器一般更易于设计, 但通常需要更多的级才能实现特定的传递特性 另一方面,IIR 滤波器采用反馈技术, 无法在滤波器内执行抽取, 但其效率更高 ( 以更少的计算实现更高的滤波器性能 ) IIR 滤波器所用的反馈可能导致滤波器不稳定 此外,IIR 滤波器 ( 它将严格仿效模拟域中实现的滤波器功能 ) 还具有非线性相位特性 由于反馈环路的稳定性问题和量化效应,IIR 滤波器的正确设计更为复杂 Σ-Δ 型 ADC 的空闲模式和干扰音考虑到目前为止, 我们的讨论假设 Σ-Δ 调制器所产生的量化噪声是随机的, 并且未利用输入信号进行校正 遗憾的是, 事实并非完全如此, 特别是对于一阶调制器 考虑这样一种情况 : 在一个 4 位 Σ-Δ 型 ADC 中, 对调制器输出的 16 个样本求平均值 图 6.14 显示了两种输入信号条件下的位模式 : 一种是输入信号的值为 8/16, 另一种是输入信号的值为 9/16 对于 9/16 信号, 在调制器输出的位模式中, 每隔 16 个输出有一个多余的 1 这将在 fs/16 处产生能量, 转化为干扰音 如果过采样比小于 16, 此音将落在通带以内 图 6.15 显示了一阶 Σ-Δ 调制器的相关空闲模式特性, 图 6.16 显示了二阶调制器的相对不相关的模式 因此, 几乎所有 Σ-Δ 型 ADC 都含有至少一个二阶调制器环路 FIR 滤波器 : 易于设计 易于集成抽取功能 线性相位响应 可能需要大量系数 IIR 滤波器 : 稳定性 溢出考虑 反馈导致无法集成抽取功能 效率高于 FIR 滤波器 非线性相位响应组合 : 2 级 FIR 滤波器 FIR 滤波器后接 IIR 滤波器 2 级 IIR 滤波器 图 14. Σ-Δ 调制器输出中的重复位模式 图 13. Σ-Δ 型 ADC 数字滤波和抽取 Rev.0 Page 6 of 16

7 AD1879 通过计数调制器位流中连续 1 或 0 的数量来数字化检测五阶调制器的不稳定性 如果出现足够长的 1 串或 0 串, 则表示调制器不稳定 这将触发电路复位积分器的状态, 以使调制器进入稳定工作状态 图 15. 一阶 Σ-Δ 调制器的空闲模式 ( 积分器输出 ) 18 位 Σ-Δ 型音频 ADC 描述 AD1879 是一款先进的双通道 18 位 Σ-Δ 型 ADC, 专为满足专业数字音频设备的严格要求而设计 该器件的功能框图如图 6.18 所示, 工作特性如图 6.19 所示 调制器采用五阶开关电容设计, 噪声谱整形特性如图 6.20 所示 过采样比为 64x, 对于 48kHz 的标准音频采样速率, 过采样频率为 3.072MHz 由于过采样比非常高, 因此 ADC 输入端只需要一个单极点模拟抗混叠滤波器 图 16. 二阶 Σ-Δ 调制器的空闲模式 ( 第二积分器输出 ) 高阶调制器环路为了实现宽动态范围, 必须使用二阶以上的 Σ-Δ 调制器环 路, 但这会带来切实的设计挑战 首先, 前文讨论的简单线性模型不再完全准确 一般而言, 二阶以上的环路无法保证在所有输入条件下都能保持稳定, 原因在于比较器是一个非线性元件, 其有效 增益 与输入电平成反比 这种不稳定机制会导致以下特性 : 如果环路正常工作, 并且将一个大信号施加于输入, 引起环路过载, 则比较器的平均增益减小 在线性模型中, 比较器增益的减小会导致环路不稳定 即使已消除了引起不稳定的信号, 这种增益减小仍然会导致环路不稳定 在实际操作中, 上电瞬变所引起的初始条件一般会导致这种电路发生上电时振荡 图 18. 双通道 18 位 Σ-Δ 型 ADC AD1879 可提高动态范围和分辨率 高阶环路可最小化空闲模式和干扰音 难以分析和稳定 可以成功使用非线性稳定技术 : 18 位五阶 ADC AD1879 图 17. 高阶环路考虑 (>2) Rev.0 Page 7 of 16

8 2 个 18 位立体声数字音频通道 通道间串扰 :-110dB(1 khz 时 ) SNR: 104dB THD: 100dB 过采样比 :64x 输出字速率 :55kHz( 最大值 ) 线性相位数字滤波器 功耗 :900mW 28 引脚 600 密耳塑封封装图 位 Σ-Δ 型 ADC AD1879 的主要特性 现 因此, 我们必须使用并行处理方法, 在同一时间执行多个乘法累加操作, 或者使用多速率方法, 将抽取分成多个步骤来完成 AD1879 选用了一种新颖的并行处理方法, 详见参考文献 1 此滤波器的特性如图 6.21 所示, 幅度响应如图 6.22 所示 阻带衰减 :118dB 通带纹波 :±0.0008dB 截止频率 (48kHz 输出速率 ):21.7kHz 阻带频率 (48kHz 输出速率 ):26.2kHz 并行累加器数量 :64 个 27 位累加器 系数字长 :22 位 抽头数 :4096 图 21. AD1879 数字滤波器特性 AD1879 ADC 是一款复合单芯片 IC 一个芯片执行 Σ-Δ 调制功能, 另一个芯片执行数字滤波功能 图 20. AD1879 调制器输出频谱 对于 AD1879 等音频 ADC, 数字低通滤波器无法利用标准乘法累加结构和现有半导体技术实现 例如, 假设我们要求滤波器工作在 3.072MHz (64 x 48kHz) 的采样速率, 平坦度达 20kHz, 并且具有 115dB 以上的阻带衰减 ( 从 26.2kHz 开始 ) 如果我们将这些要求纳入标准 FIR 等纹波设计图中, 则所需的系数数量为 4096 当输出采样速率为 48kHz, 乘法累加时间要求为 5.1ns 对于标准 FIR 滤波器结构而言, 由于半导体工艺限制, 如此快的速度显然无法实 图 22. AD1879 数字滤波器响应 Rev.0 Page 8 of 16

9 低频测量应用 Σ-Δ 型 ADC 工业过程控制 电子秤 温度和压力测量仪表等应用要求 ADC 能以 16 位或更高的分辨率对低频信号 ( 通常小于 10Hz) 进行数字化处理 过去, 这种需求几乎完全由积分 ( 或双斜率 )ADC 来满足 Σ-Δ 转换器提供了另一种有吸引力的选择 除了成本更低和尺寸更小外, 低频 Σ-Δ 型 ADC 还能提供片上数字滤波以及系统和自校准功能 采样速率允许处理最高 10Hz 带宽的信号, 而且与传统积分 ADC 相比,Σ-Δ 型 ADC 能在宽得多的频率变化范围内保持电力线频率抑制特性 双斜率 ADC 的电源抑制特性取决于瞬时线路频率变化, 原因是采样时钟与线路频率同步 单芯片 16 位 Σ-Δ 型 ADC AD7701 的功能框图如图 6.23 所示, 其主要特性如图 6.24 所示 单片 16 位 ADC 线性度误差 :0.0015% 输出数据速率 :4 ksps 可编程低通滤波器 : 0.1Hz 到 10Hz 转折频率 片内自校准电路 输入范围 :0 至 +2.5V 或 ±2.5V 功耗 :40mW 待机模式功耗 :20μW 灵活的串行接口 图 24. AD7701 低频测量 ADC 的主要特性 图 25. AD7701 数字滤波器响应 图 23. AD7701 功能框图 AD7701 内置一个二阶 Σ-Δ 调制器, 当外部时钟频率为 4.096MHz 时, 它以 16kHz 的速率采样模拟输入信号 因此, 量化噪声分布于 0 至 8kHz 的带宽范围内 该器件内置一个 6 极点高斯低通数字滤波器, 在最大时钟速率时, 其截止频率为 10Hz 相对于 10Hz 截止频率,16kHz 采样速率意味着过采样比为 800 滤波器在这些条件下提供 55dB 的 60Hz 抑制性能 如果时钟频率减半, 使截止频率为 5Hz, 则 60Hz 抑制性能将优于 90dB 在 0.1Hz 至 10Hz 带宽内, 电源抑制比 (PSRR) 为 70dB; 由于数字滤波的影响,PSRR 在 60Hz 时超过 120dB 数字滤波器在各种时钟速率下的频率响应曲线如图 6.25 所示 AD7701 内部数字滤波器的建立时间较长 ( 如图 6.26 所示 ), 因而不适合通道按顺序高速切换和转换的多路复用应用 信号电平不同的通道之间的切换可能导致输入发生阶跃变化 AD7701 主要用于一个通道使用一个 ADC 的分布式转换器系统 多路复用是可能的, 不过在访问新通道的数据之前, 必须留有足够长的建立时间 对于 4.096MHz 主时钟频率,±0.0007% (±0.5LSB) 的最差高斯响应建立时间为 125ms Rev.0 Page 9 of 16

10 AD7710 AD7711 和 AD7712 ADC 构成一个具备片内信号调理功能的 21 位 Σ-Δ 型 ADC 系列, 适合低频率 低电平测量应用, 例如电子秤 热电偶温度测量 RTD( 电阻式温度检测器 ) 温度测量 过程控制器和可编程环路控制器等 这些器件的共同特性如图 6.28 所示,AD7110 的功能框图如图 6.29 所示 图 26. AD7701 数字滤波器阶跃响应 AD7701 利用片内校准微控制器和 SRAM, 提供两种校准模式 在自校准模式下, 零电平相对于模拟地引脚 (AGND) 进行校准, 满量程相对于 Vref 引脚进行校准 在系统校准模式下,AD7701 根据模拟输入引脚上的电压, 分两步先后校准零电平和满量程, 因而能够消除系统失调和 / 或增益误差 Σ-Δ 型 ADC AD7703 具有与 AD7701 相似的架构, 但实现了 20 位的分辨率和 % 的线性度误差 AD7703 的主要特性如图 6.27 所示 21 位 Σ-Δ 型 ADC,±0.0015% 非线性 片内差分输入 PGA, 增益 :1 至 128; CMR:120dB(50/60Hz 时 ) 第一滤波器陷波频率和输出数据速率可在 10Hz 至 1kHz 范围内进行编程 Sinc3 滤波器响应, 截止频率为第一滤波器陷波频率的 倍 读 / 写校准系数 双向微控制器串行接口 内部 外部基准电压源选择 单电源或双电源供电 低功耗 (20mW), 带省电模式 (10μW 图 28. AD7710/7711/7712 测量 ADC 共同的主要特性 单片 22 位 ADC 线性度误差 :0.0003% 输出数据速率 :4 ksps 可编程低通滤波器 : 0.1Hz 到 10Hz 转折频率 片内自校准电路 输入范围 :0 至 +2.5V 或 ±2.5V 功耗 :40mW 待机模式功耗 :20μW 灵活的串行接口 图 29. AD7710 功能框图 图 27. AD7703 低频测量 ADC 的主要特性 Rev.0 Page 10 of 16

11 Σ-Δ 型 DAC 一般可以将 Σ-Δ 数模转换看作是模数转换的逆过程, 前文讨论的数字滤波器和 Σ-Δ 调制器的所有基本功能都相同 Σ-Δ 型 DAC 有着与 Σ-Δ 型 ADC 基本相同的优势 由于过采样比非常大, 大大降低了对抗混叠重构滤波器的要求 不过, 必须小心确保对 1 位 DAC 输出中所含的高频噪声成分进行充分滤波 如果需要高阶滤波器来降低该噪声, 则会失去 Σ-Δ 型 DAC 架构的一些优势 图 30. AD7710/7711/7712 数字滤波器响应用户可以利用片内差分输入 PGA( 增益为 1 到 128) 控制满量程电压和电压分辨率 片内自校准可消除零电平和满量程误差, 从而使温度漂移效应最小化 内部数字滤波器具有 (sin x/x) 3 的响应, 写入控制寄存器的 12 位数据决定了滤波器截止频率 滤波器第一陷波频率的位置以及数据速率 与增益选择配合使用, 它还可决定器件的有效分辨率 第一陷波频率 ( 也是输出数据速率 ) 可以在 10Hz 至 1kHz 范围内进行编程 相应的 -3dB 频率等于第一陷波频率的 倍 图 6.30 显示了截止频率为 2.62Hz( 对应于 10Hz 的第一滤波器陷波频率 ) 时的滤波器频率响应特性 该滤波器响应提供的 50Hz 和 60Hz 共模抑制大于 100dB 这三款器件各自特有的主要特性如图 6.31 所示 AD7710: 双通道差分低电平 PGA 输入 AD7711: 单通道差分低电平 PGA 输入 RTD( 电阻式温度检测器 ) 激励电流源 AD7712: 单通道差分低电平 PGA 输入 高电平模拟输入图 31. AD7710/7711/7712 各自特有的特性 精确 低成本 高分辨率 激光晶圆调整的 DAC 很容易购得, 因此设计人员在元件级别上充分发掘 Σ-Δ 型 DAC 性能的压力相对较小 开发 Σ-Δ 型 DAC 技术的真正动力在于, 对于要求在芯片级别上集成 ADC DAC 和 DSP 功能的混合信号 IC, 它堪称是理想架构 基本上是逆向的 Σ-Δ 型 ADC 元件级低成本 高分辨率 R/2R DAC 器件大量涌现, 并具有过采样功能 Σ-Δ 型 DAC 非常适合在芯片级别上与 ADC 和 DSP 功能集成 抗混叠滤波器必须消除高频噪声 图 32. Σ-Δ 型 DAC 概念 采用 R/2R DAC 实现高性能和宽动态范围的传统方法如图 6.33 所示 由于内部 DAC 开关的二进制性质, 码相关瞬变或毛刺通常会在输出频谱中产生一定量的谐波失真 在之前的 DAC 部分中已经讨论过, 一种称为 分段 的技术可以大幅降低这种影响 为实现最高频谱纯度, 其余的毛刺可以用采样保持电路予以消除 ; 在毛刺持续时间内, 采样保持电路可以使 DAC 输出电压保持不变 这种技术可以消除码相关毛刺, 从而消除谐波失真, 但代价是在采样频率下会引入一些额外能量 SHA 输出端需要使用低通或平滑滤波器, 以防止混叠并消除采样频率的能量 适用于 ADC 之前的抗混叠滤波器的基本注意事项同样适用于 DAC 之后的平滑滤波器 因此, 过采样同样会降低平滑滤波器的滚降要求 事实上, 使用 R/2R 和 20 位 DAC 的 CD 播放器目前正广泛使用 2x 4x 和 8x 过采样技术 Rev.0 Page 11 of 16

12 图 33 传统 DAC 去毛刺技术 用于实现 Σ-Δ 型 DAC 的主要元件如图 6.34 所示 图 35. 离散时间信号的插值 图 34. Σ-Δ 型 DAC 这里的示例是一个 16 位 DAC, 它以 8kHz 速率更新, 产生 4kHz 带宽的语音频带输出信号 16 位数字字被送到一个数字插值滤波器, 其中的采样速率提高到 1.024MHz, 对应于 128 的过采样比 可以将该过程视为从一个旧的低速率数字信号重构一个新的高速率数字信号 图 6.35 显示了一个离散时间信号进行 4 倍插值的情况 通过在两个数据样本之间插入三个零值样本, 输入信号 x(m) 得以扩展 由此产生的信号 w(m) 经过低通滤波, 产生采样速率提高 4 倍的信号 y(m) 数字输入 Σ-Δ 调制器对 16 位 1.024MHz 数据流进行噪声整形, 将样本宽度降至 1 位 与 Σ-Δ 型 ADC 中的 Σ-Δ 调制器不同, 此调制器是全数字式 传递函数利用 IIR 滤波器在数字域中实现 此数字滤波器执行的调制器功能与在 ADC 中的相同, 其中输入信号经过有效低通滤波, 量化噪声经过高通滤波 与 Σ-Δ 型 ADC 一样, 除非以某种方式求平均值, 否则 1 位 DAC 输出毫无意义 此外还需要消除位于上部频率区域中 的整形量化噪声 最后, 还需要抑制任何位于输出奈奎斯特速率附近的镜像 模拟平滑滤波器通常分多级来执行这些功能 设计此滤波器时, 滤波器特性必须符合整体系统的要求 例如, 音频系统需要保留其相位和幅度响应, 同时输出滤波器也要适当地抑制高频成分 如果平滑滤波器为有源滤波器, 则必须注意, 所用的运算放大器不能在最终输出中引入压摆率限制和噪声导致的失真产物 Σ-Δ 型编解码器 ADSP-28msp02 ADSP-28msp02 是一款基于 Σ-Δ 设计的混合信号外设 作为一款线性编解码器, 它内置 16 位 Σ-Δ 型 ADC 和 DAC, 从而为高性能语音频带 DSP 应用提供了一种完整的模拟前端和后端 该 IC 的主要特性如图 6.36 所示, 功能框图如图 6.37 所示 Rev.0 Page 12 of 16

13 16 位 Σ-Δ 型 ADC 16 位 Σ-Δ 型 DAC 片内抗混叠和平滑滤波器 采样速率 :8kSPS; 过采样比 :128 片内基准电压源 SNR 和 THD:65dB 与 DSP 芯片轻松接口 24 引脚 DIP/SOIC 封装 +5V 单电源,100mV 功耗 语音频带应用的理想选择 图 36. Σ-Δ 型编解码器 ADSP-28msp02 的主要特性 与传统 m 法则和 A 法则编解码器相比,ADSP-28msp02 的线性编码 ADC 和 DAC 能够在整个传递函数上保持宽动态范围 该器件有效采样速率为 8kSPS, 信噪比 (SNR) 和总谐波失真 (THD) 性能达到 65 db, 因而对于许多电信应用 ( 如数字蜂窝电话等 ) 而言, 该器件是一个很有吸引力的选择 它采用 24 引脚 DIP/SOIC 封装, 构成一款高度集成的小尺寸解决方案, 能够满足语音频带模拟处理要求 通过串行 I/O 端口, ADSP-28msp02 可以与 ADSP-2101 ADSP-2105 ADSP-2111 MC56001 和 TMS320C25 DSP 处理器轻松接口 串行端口 (SPORT) 则用于收发数据或控制器件输入输出的信息 ADSP-28msp02 的编码器由两个可选模拟输入放大器和一个 Σ-Δ 型 ADC 组成 通过外部电阻, 可以在 -12dB 至 +26dB 的范围内调整输入放大器的增益 调制器之前可以插入一个可选的 20dB 前置放大器 前置放大器和多路复用器由控制寄存器中的数据位控制 Σ-Δ 型 ADC 由 Σ-Δ 调制器 抗混叠抽取滤波器和数字高通滤波器组成 调制器对信号进行噪声整形, 并以 1.024MHz 的速率产生 1 位样本 代表模拟输入信号的该位流被馈送到一个由两个低通滤波器级组成的抗混叠抽取滤波器 第一级将采样速率降至 40kHz, 并将样本宽度增大到 16 位 ; 第二级将采样速率进一步降低到 8kSPS 所产生的每个样本随后被载入 SPORT 以供传输 解码器由一个 Σ-Δ 型 DAC 和一个差分输出放大器组成 DAC 以 8kHz 速率从 SPORT 读取 16 位样本 数字抗镜像滤波器和高通滤波器对样本进行低通和高通滤波 抗镜像滤波器分两级对采样速率进行插值, 第一级插值到 40kHz, 第二级插值到 1.024MHz 由此得到的 16 位样本经过数字 Σ-Δ 调制器处理, 样本宽度减至 1 位 此位流被馈送到一个模拟平滑滤波器, 以便将数据转换为模拟电压 平滑滤波器的增益可以通过控制寄存器在 -15dB 至 +6dB 范围内以 3dB 步进进行调整 图 37. ADSP-28msp02 功能框图 Rev.0 Page 13 of 16

14 多级噪声整形 (MASH) Σ-Δ 转换器如前所述,AD1879 音频 ADC 成功使用非线性稳定技术来设计五阶 Σ-Δ 环路 还有一种方法是将稳定的一阶环路级联起来, 称为多级噪声整形 (MASH) 图 6.38 显示了一个三级 MASH ADC 的框图 从第一个 DAC 输出中减去第一个积分器的输出, 产生第一级量化噪声 Q1 然后, 第二级对 Q1 进行量化 从第二个 DAC 输出中减去第二个积分器的输出, 产生第二级量化噪声, 进而由第三级进行量化 MASH 将一阶环路级联起来, 因而容易确保稳定 MASH 转换器的增益和相位匹配对于消除误差至关重要 MASH 数字微分器必须与模拟积分器相匹配 单环路高阶调制器受空闲模式的影响较小 单环路高阶调制器较难理解 分析和稳定, 但可以利用 AD1879( 五阶调制器 ) 所用的非线性技术来实现 图 39. MASH 拓扑结构与高阶环路 Σ-Δ 转换器 多位 Σ-Δ 转换器到目前为止, 我们只考虑了包含 1 位 ADC( 比较器 ) 和 1 位 DAC( 开关 ) 的 Σ-Δ 转换器 图 6.40 的功能框图显示了一个使用 n 位 FlashADC 和 n 位 DAC 的多位 Σ-Δ 型 ADC 对于给定的过采样比和环路滤波器阶数, 这种架构显然能提供更高的动态范围 由于可以使用二阶及以上的环路, 因此也更容易实现稳定 空闲模式更具随机性, 因此干扰音影响更小 图 38. 多级噪声整形 Σ-Δ 型 ADC (MASH) 第一级的输出与第二级输出的一重数字微分和第三级输出的二重微分相加, 产生最终输出 因此, 量化噪声 Q1 被第二级抑制, 量化噪声 Q2 被第三级抑制, 这与三阶环路的抑制效果相同 由于此结果是利用三个一阶环路获得的, 因此可以确保电路稳定工作 图 6.39 对 MASH 架构与高阶单环路架构进行了比较 图 40. 多位一阶 Σ-Δ 型 ADC 这种技术的最大缺点在于线性度取决于 DAC 的线性度, 并且需要采用薄膜激光调整才能达到 16 位性能水平 因此, 要在混合信号 IC 上实现多位架构非常不切实际 图 6.41 对多位与一位 Σ-Δ 转换器进行了比较 Rev.0 Page 14 of 16

15 多位 : 对于给定的过采样比和环路滤波器阶数, 动态范围更高 高阶系统更容易稳定 空闲模式引起的干扰音影响更小 线性度取决于 DAC 要求薄膜激光调整一位 : 完美的线性度, 无严格匹配要求 无需激光调整 混合信号 VLSI 的理想拓扑结构 需要采用非线性技术以稳定高阶环路 (AD1879) 图 41. 多位与一位 Σ-Δ 转换器 Σ-Δ 总结尽管 Σ-Δ 转换器所涉及的概念并非新生事物, 但之所以在最近得到迅速发展, 主要是受混合信号 VLSI 芯片对兼容转换器的需求所推动 采用 Σ-Δ 架构的转换器是测量 语音频带和音频应用的理想之选 随着对各种 Σ-Δ 电路拓扑结构的探索进一步深入以及新工艺的发展,Σ-Δ 转换器的动态范围和采样速率必将越来越高 显而易见,Σ-Δ 转换器并不是解决目前所有数据采集问题的万能钥匙 采样频率存在上限, 因此不适合视频应用 ; 由于内部数字滤波器的建立时间较长, 多路复用输入难以实现 ; 超范围信号可能导致内部调制器饱和 另一方面,Σ-Δ 转换器也有许多优点 : 内在的出色线性度性能消除了激光调整要求, 过采样降低了抗混叠和抗镜像滤波器要求, 架构的基本采样特性决定了它不需要 SHA 随着混合信号 IC 的普及,Σ-Δ 转换器将继续得到快速发展 内在的出色线性度 非常适合混合信号 IC 工艺, 无需调整 无需采样保持放大器 (SHA) 采样速率存在上限, 目前仅限应用于测量 语音频带和音频 超范围信号可能导致调制器饱和 由于内部滤波器限制, 不适合模拟多路复用应用 : 一个通道使用一个 Σ-Δ 型 ADC! 图 42. Σ-Δ 总结 Rev.0 Page 15 of 16

16 参考文献 1. J. Dattorro, A. Charpentier, D. Andreas, The Implementation of a One-Stage Multirate 64:1 FIR Decimator for use in One-Bit Sigma-Delta A/D Applications, AES 7th International Conference, May W.L. Lee and C.G. Sodini, A Topology for Higher-Order Interpolative Coders, ISCAS PROC P.F. Ferguson, Jr., A. Ganesan and R. W. Adams, One Bit Higher Order Sigma-Delta A/D Converters, ISCAS PROC. 1990, Vol. 2, pp R. Koch, B. Heise, F. Eckbauer, E. Engelhardt, J. Fisher, and F. Parzefall, A 12-bit Sigma-Delta Analogto-Digital Converter with a 15MHz Clock Rate, IEEE Journal of Solid-State Circuits, Vol. SC-21, No. 6, December Wai Laing Lee, A Novel Higher Order Interpolative Modulator Topology for High Resolution Oversampling A/D Converters, MIT Masters Thesis, June D. R. Welland, B. P. Del Signore and E. J. Swanson, A Stereo 16-Bit Delta-Sigma A/D Converter for Digital Audio, J. Audio Engineering Society, Vol. 37, No. 6, June 1989, pp R. W. Adams, Design and Implementation of an Audio 18-Bit Analog-to-Digital Converter Using Oversampling Techniques, J. Audio Engineering Society, Vol. 34, March 1986, pp B. Boser and Bruce Wooley, The Design of Sigma-Delta Modulation Analog-to-Digital Converters, IEEE Journal of Solid-State Circuits, Vol. 23, No. 6, December 1988, pp Y. Matsuya, et. al., A 16-Bit Oversampling A/D Conversion Technology Using Triple-Integration Noise Shaping, IEEE Journal of Solid-State Circuits, Vol. SC-22, No. 6, December 1987, pp Y. Matsuya, et. al., A 17-Bit Oversampling D/A Conversion Technology Using Multistage Noise Shaping, IEEE Journal of Solid-State Circuits, Vol. 24, No. 4, August 1989, pp P. Ferguson, Jr., A. Ganesan, R. Adams, et. al., An 18-Bit 20-kHz Dual Sigma-Delta A/D Converter, ISSCC Digest of Technical Papers, February Steven Harris, The Effects of Sampling Clock Jitter on Nyquist Sampling Analog-to-Digital Converters and on Oversampling Delta Sigma ADCs, Audio Engineering Society Reprint 2844 (F-4), October, Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. Rev.0 Page 16 of 16

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

图 2 :Σ-Δ 调制器输出中的重复位模式 图 3 显示了一阶 Σ-Δ 调制器的相关空闲模式特性, 图 4 显示了二阶调制器的相对不相关的 模式 因此, 几乎所有 Σ-Δ 型 ADC 都至少含有一个二阶调制器环路, 有些甚至使用五阶环路 图 3 : 一阶 Σ-Δ 调制器的空闲模式 ( 积分器输出 )

图 2 :Σ-Δ 调制器输出中的重复位模式 图 3 显示了一阶 Σ-Δ 调制器的相关空闲模式特性, 图 4 显示了二阶调制器的相对不相关的 模式 因此, 几乎所有 Σ-Δ 型 ADC 都至少含有一个二阶调制器环路, 有些甚至使用五阶环路 图 3 : 一阶 Σ-Δ 调制器的空闲模式 ( 积分器输出 ) 指南 ADC 架构 IV :Σ-Δ 型 ADC 高级概念和应用 作者 :Walt Kester 简介教程 MT-022 已论述了 Σ-Δ 型 ADC 的基本原理 本教程将介绍一些更高级的概念, 包括空闲音 多位 Σ-Δ MASH 带通 Σ-Δ, 并提出一些示例应用 空闲音考量到目前为止, 我们对 Σ-Δ 型 ADC 的讨论均假设 Σ-Δ 调制器 ( 参见图 1) 所产生的量化噪声是随机的, 并且与输入信号是不相关的

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

Microsoft PowerPoint - ch9 [兼容模式]

Microsoft PowerPoint - ch9 [兼容模式] 第九章 多采样率数字信号处理 王柯俨 kwang@mail.idian.edu.cn http://web.idian.edu.cn/kwang/teach.html d /k /t h 1 91 9.1 引言 需要多采样率的场合 : 需求不同 ( 数字电视 数字电话等 非平稳信号的分析 冗余数据的存在 采样率转换 多采样率数字信号处理 2 采样率转换方法 : 方法一 : 间接转换 把离散时间信号

More information

数字信号处理 第五章04 IIR数字滤波器-脉冲响应不变变换法.ppt [兼容模式]

数字信号处理 第五章04 IIR数字滤波器-脉冲响应不变变换法.ppt [兼容模式] 数字信号处理 周治国 2015.11 第五章数字滤波器 IIR 数字滤波器 脉冲响应不变变换法 1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的转换

More information

1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的

1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的 1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的转换 IIR 数字滤波器设计 二 直接设计 IIR 数字滤波器 1 IIR 数字低通滤波器的频域直接设计方法

More information

ANALOG INPUT SAMPLING CLOCK DIGITAL OUTPUT (A) DELTA MODULATION 1-BIT DAC SAMPLING CLOCK ANALOG INPUT (B) DIFFERENTIAL PCM N-BIT FLASH ADC DIGITAL OUT

ANALOG INPUT SAMPLING CLOCK DIGITAL OUTPUT (A) DELTA MODULATION 1-BIT DAC SAMPLING CLOCK ANALOG INPUT (B) DIFFERENTIAL PCM N-BIT FLASH ADC DIGITAL OUT 指南 ADC 架构 III:Σ-Δ 型 ADC 基础 作者 :Walt Kester 简介 Σ-Δ 型 ADC 是现代语音频带 音频和高分辨率精密工业测量应用所青睐的转换器 高度数字架构非常适合现代细线 CMOS 工艺, 因而允许轻松添加数字功能, 而又不会显著增加成本 随着此转换器架构的广泛使用, 了解其基本原理显得非常重要 由于该主题长度较长,Σ-Δ 型 ADC 需要分为两个教程 MT-0 和

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information

Basics of ADCs and DACs, part 1

Basics of ADCs and DACs, part 1 ADC 和 DAC 基础 ( 第一部分 ) 本系列文章分为 5 个部分, 第一部分介绍采样的概念以及奈奎斯特 (Nyquist) 采样准则 第 5 部分同样也说明了如何运用欠采样和抗混叠滤波器 By Walt Kester and James Bryant, Analog Devices 作者 :Walt Kester 和 James Bryant, 美国模拟器件公司 引言 图 2-1 所示为典型的采样数据

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

MT-001 指南 揭开一个公式 (SNR = 6.02N dB) 的神秘面纱, 以及为什么我们要予以关注 作者 :Walt Kester 简介接触 ADC 或 DAC 时您一定会碰到这个经常被引用的公式, 用于计算转换器理论信噪比 (SNR) 与其盲目地相信表象, 不如从根本上了解其来

MT-001 指南 揭开一个公式 (SNR = 6.02N dB) 的神秘面纱, 以及为什么我们要予以关注 作者 :Walt Kester 简介接触 ADC 或 DAC 时您一定会碰到这个经常被引用的公式, 用于计算转换器理论信噪比 (SNR) 与其盲目地相信表象, 不如从根本上了解其来 指南 揭开一个公式 (SNR = 6.0N + 1.76dB) 的神秘面纱, 以及为什么我们要予以关注 作者 :Walt Kester 简介接触 ADC 或 DAC 时您一定会碰到这个经常被引用的公式, 用于计算转换器理论信噪比 (SNR) 与其盲目地相信表象, 不如从根本上了解其来源, 因为该公式蕴含着一些微妙之处, 如果不深入探究, 可能导致对数据手册技术规格和转换器性能的误解 记住, 该公式代表的是完美

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - SVANTEK产品资料.doc

Microsoft Word - SVANTEK产品资料.doc 噪声分析仪 :SVAN953 SVAN955 振动分析仪 :SVAN954 SVAN956 振动噪声分析仪 :SVAN957 SVAN959 4 通道噪声振动分析仪 :SVAN958 声压标定器 :SV30A SV31 苏州声和振动科技有限公司 Suzhou SV Technology Co.,LTD 地址 : 江苏省苏州市东吴北路 31 号 A 幢邮编 :215128 电话 :0512-67071025

More information

SWISS EPHEMERIS for the year 1626 heliocentric JANUARY 1626 GC 00:00 UT Day Sid.t Terra B C D E F G O I J N T d41'08 10d36 23j36 25g46 27b

SWISS EPHEMERIS for the year 1626 heliocentric JANUARY 1626 GC 00:00 UT Day Sid.t Terra B C D E F G O I J N T d41'08 10d36 23j36 25g46 27b JANUARY 1626 GC T 1 6 42 10 10d41'08 10d36 23j36 25g46 27b41 17g59 10f 9 23e46 22g53 17b17 24l26 F 2 6 46 7 11 42'20 11 36 26 47 27 22 28 13 18 4 10 11 23 46 22 53 17 17 24 27 S 3 6 50 3 12 43'30 12 36

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

连续傅里叶变换提供了一个将时间的连续函数映射到连续复数频域的途径, 逆傅里叶变换则能将频率的函数映射到时域 类似地, 离散傅里叶变换能将时间的离散函数映射到离散频域, 其逆变换则能将频率的离散函数映射到离散时域 如果频率的函数为两个函数的乘积, 例如信号的频率成分与传递函数 ( 即频率响应 ) 的乘

连续傅里叶变换提供了一个将时间的连续函数映射到连续复数频域的途径, 逆傅里叶变换则能将频率的函数映射到时域 类似地, 离散傅里叶变换能将时间的离散函数映射到离散频域, 其逆变换则能将频率的离散函数映射到离散时域 如果频率的函数为两个函数的乘积, 例如信号的频率成分与传递函数 ( 即频率响应 ) 的乘 应用笔记 One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com 轻松设计数字 FIR 滤波器 作者 :Bill Windsor 和 Paul ToIdaIagi 以前, 数字滤波器需要专门设计技术 高性能且昂贵的硬件 复杂的软件才能实现,

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

Processor-DSP Buddy Call

Processor-DSP Buddy Call 全球领先的高性能信号处理解决方案供应商 DSP/ 与嵌入式处理器基本原理 ADI 公司 处理器 -DSP 核心产品与技术部门 2013 年 11 月 NDA required util November 11, 2008 数字信号处理简介 世界是数字的, 你只需要以足够高的采样速率将其数字化 海森堡 ( 其实, 这不是他的原话 ) 2 这句话要表达的意思是 : DSP 可以表达不同的含义 : 数字信号处理

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

有源滤波器的设计理论 模拟滤波器在信号链的应用中一般用作抗混叠滤波器 设计模拟滤波器的时候关于通带和截止带设定会用到采 样定理的知识 在时域中, 信号经过滤波在采样是 s(t) 和 h(t) 卷积, 然后再跟 δ(t) 相乘 对应到频域, 信号 S(ω) 和 H(ω) 相乘, 然后再跟 δ(ω) 卷

有源滤波器的设计理论 模拟滤波器在信号链的应用中一般用作抗混叠滤波器 设计模拟滤波器的时候关于通带和截止带设定会用到采 样定理的知识 在时域中, 信号经过滤波在采样是 s(t) 和 h(t) 卷积, 然后再跟 δ(t) 相乘 对应到频域, 信号 S(ω) 和 H(ω) 相乘, 然后再跟 δ(ω) 卷 WEBENCH 有源滤波器设计与实现 何宾 2015.07 有源滤波器的设计理论 模拟滤波器在信号链的应用中一般用作抗混叠滤波器 设计模拟滤波器的时候关于通带和截止带设定会用到采 样定理的知识 在时域中, 信号经过滤波在采样是 s(t) 和 h(t) 卷积, 然后再跟 δ(t) 相乘 对应到频域, 信号 S(ω) 和 H(ω) 相乘, 然后再跟 δ(ω) 卷积 2 有源滤波器的设计理论 原始信号和低通滤波器的频谱

More information

<4D F736F F F696E74202D20B8B4BCFE20B5DAC1F9BDB C9E8BCC6>

<4D F736F F F696E74202D20B8B4BCFE20B5DAC1F9BDB C9E8BCC6> IIR DF 设计 Prt 数字信号处理面向专业 : 自动化系授课教师 : 刘剑毅 Buttrworth 模拟低通滤波器设计 幅度平方函数 : H( ) 为滤波器的阶数 为通带截止频率 当 称 H ( ) /时 H( 0) 0lg 3dB H ( ) 为 Buttrworth 低通滤波器的 3 分贝带宽 ) 该型滤波器函数特点 : H( ) 0 H( ) ( ) / 3 H db 3dB 不变性

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

一.本课程的目的,任务和特点

一.本课程的目的,任务和特点 第 5 章离散时间傅里叶变换 DTFT. 离散系统傅里叶变换推导. 离散时间傅里叶变换举例 3. 离散时间傅里叶变换性质 4. 卷积性质及其含义和用途 . 离散系统傅里叶变换推导 推导 : 类似于连续系统的傅里叶变换, 除了 e e x 是非周期序列且持续时间有限 足够大以至于 0如果 x 当 而且以 为周期, x x x a k k 0 0 0 定义 X e a k a e k x e k x e

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

( 提 案 單 位 : 教 務 處 註 冊 組 )-----------------------------15-20 決 議 : 照 案 通 過 二 擬 修 訂 本 校 學 則 第 四 十 六 條 條 文 案, 提 請 審 議 ( 提 案 單 位 : 教 務 處 註 冊 組 )----------

( 提 案 單 位 : 教 務 處 註 冊 組 )-----------------------------15-20 決 議 : 照 案 通 過 二 擬 修 訂 本 校 學 則 第 四 十 六 條 條 文 案, 提 請 審 議 ( 提 案 單 位 : 教 務 處 註 冊 組 )---------- 國 立 中 山 大 學 第 141 次 教 務 會 議 會 議 紀 錄 時 間 :103 年 10 月 13 日 ( 星 期 一 ) 下 午 02 時 00 分 地 點 : 行 政 大 樓 ( 行 AD5007) 會 議 室 主 席 : 劉 教 務 長 孟 奇 記 錄 : 莊 毓 菁 出 席 : 劉 教 務 長 孟 奇 葉 學 生 事 務 長 淑 娟 ( 李 所 長 慶 男 代 ) 李 處 長 錫

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

A5katalog_fina CNl.indd

A5katalog_fina CNl.indd System DIO 2000 工 业 测 量 系 统 的 完 整 解 决 方 案 STARMANS DIO 2000 软 件 DIO 2000 一 般 功 能 该 系 统 的 超 声 通 道 设 计 为 独 立 的 超 声 插 入 单 元 ( 模 块 ), 每 个 模 块 都 有 独 立 的 微 处 理 器 控 制 和 信 号 处 理 功 能 插 入 单 元 ( 尺 寸 为 100 x 160 毫

More information

D4

D4 020 0.18-m SiGe BiCMOS 5-GHz 5/60 GHz 0.18-m SiGe BiCMOS 5/60 GHz Dual- Conversion Receiver Using a Shared Switchable 5-GHz Double Balance Gilbert Mixer 1 1 1 2 1 2 0.18-m SiGe BiCMOS 5-GHz / (5/60 GHz)

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

Microsoft PowerPoint - Lecture 14 IIR滤波器设计.ppt

Microsoft PowerPoint - Lecture 14 IIR滤波器设计.ppt 9. 预备知识 第 9 章 IIR 数字滤波器设计罗劲洪 确定传输函数 的过程称为数字滤波器设计. 在大多数应用中, 关键的问题是用一个可实现的传输函数去逼近给定的滤波器幅度响应指标, 而滤波器的相位响应可以通过级联全通滤波器来校正 一种广泛应用的 IIR 滤波器设计方法是将一个模拟的原型传输函数转换为一个数字的传输函数,IR 滤波器的设计则是基于对指定幅度响应的直接逼近 在设计数字传输函数 之前,

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

幻灯片 1

幻灯片 1 Digital Signal Processing(DSP) : 203 : 0531-88364509 Email: jiangmingyan@sdu.edu.cn : ---- ---- JMY Copyright Reserved, SDU, 1 / 69 : (,, 2007 64 48 16 1 8 1. 2 2. 6 3. 6 4. 8 5., FFT 8 6. 6 7. 8 8. 4

More information

标题

标题 共 青 团 中 央 办 公 厅 国 家 安 全 监 管 总 局 办 公 厅 中 青 办 联 发 也 2015 页 4 号 共 青 团 中 央 办 公 厅 摇 国 家 安 全 监 管 总 局 办 公 厅 关 于 开 展 2015 年 度 全 国 青 年 安 全 生 产 示 范 岗 创 建 活 动 的 通 知 各 省 自 治 区 直 辖 市 团 委 安 全 监 管 局, 全 国 铁 道 团 委, 全 国

More information

Ellen G. White Writings

Ellen G. White Writings {CG 17.1} {CG 17.2} {CG 17.3} {CG 18.1} {CG 18.2}? {CG 18.3} {CG 18.4} {CG 18.5} Page 1 of 198 {CG 19.1} {CG 19.2} {CG 19.3} {CG 19.4} {CG 21.1} {CG 21.2} {CG 21.3} {CG 21.4} {CG 21.5} {CG 22.1} Page 2

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

学校编码 :10384 学号 : 分类号 密级 UDC 硕士学位论文 三阶级联 Sigma-Delta 调制器设计 Design of the Third Order Cascaded Sigma-Delta 指导教师姓名 : Modulator 郭先清 吴孙桃教授 专业名称 :

学校编码 :10384 学号 : 分类号 密级 UDC 硕士学位论文 三阶级联 Sigma-Delta 调制器设计 Design of the Third Order Cascaded Sigma-Delta 指导教师姓名 : Modulator 郭先清 吴孙桃教授 专业名称 : 学校编码 :10384 学号 :200324037 分类号 密级 UDC 硕士学位论文 三阶级联 Sigma-Delta 调制器设计 Design of the Third Order Cascaded Sigma-Delta 指导教师姓名 : Modulator 郭先清 吴孙桃教授 专业名称 : 微电子学 论文提交日期 : 2006 年 5 月 论文答辩日期 : 2006 年 6 月 学位授予日期

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式]

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式] 模数转换器 中国科学技术大学精密机械与精密仪器系 1 参考书目 : 马明建, 周长城, 数据采集与处理技术,1998 年 8 月, 西安交通大学, 西安 卢文祥 杜润生, 机械工程测试 信息 信号分析,1989, 华中理工大学出版社, 武汉 佟德纯, 工程信号处理及应用, 1989, 上海交通大学出版社, 上海 模数转换器李为民 2 第二节 模数转换器的分类 按转换信号的关系分类 按转换电路结构和工作原理分类

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

[8], Fig. FPLLSchematics. [8],,, [8],,, ±500Hz,,,, [3,8] ±90,,temptemp ;ωofωop Fig. LoopFilterSchematicsforFPLL FLL PLL y(), NCO z(), z() ;B ;T

[8], Fig. FPLLSchematics. [8],,, [8],,, ±500Hz,,,, [3,8] ±90,,temptemp ;ωofωop Fig. LoopFilterSchematicsforFPLL FLL PLL y(), NCO z(), z() ;B ;T 39 04 GeomaticsadIformatioScieceofWuhaUiversity Vol.39No. Nov.04 DOI0.303/j.whugis03035 67-8860(04)-39-05 FPLL GPS 3 3,,43007 6507,,8003 3,,430079 针对高动态环境下普通 GPS 接收机跟踪环路容易失锁的问题, 考虑到锁频环动态性能好 锁相环跟踪精度高的特点,

More information

u d = R s i d - ωl q i q u q = R s i q + ωl d i d + ωψ 1 u d u q d-q i d i q d q L d L q d q ψ f R s ω i 1 i 5th i th 5 θ 1 θ θ 3 5 5

u d = R s i d - ωl q i q u q = R s i q + ωl d i d + ωψ 1 u d u q d-q i d i q d q L d L q d q ψ f R s ω i 1 i 5th i th 5 θ 1 θ θ 3 5 5 3 5 018 10 Vol. 3 No. 5 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Oct. 018 150080 Matlab /Simulink DOI 10. 15938 /j. jhust. 018. 05. 011 TM35 A 100-683 018 05-006- 06 Stator Harmonic Optimal

More information

好文如茶: 理性的澄澈与透明

好文如茶: 理性的澄澈与透明 第 6 卷 第 1-2 期 许 昌 职 业 技 术 学 院 学 报 2010 年 6 月 Journal of Xuchang Vocational Technical College Vol.6 No.1-2 June.2010 好 文 如 茶 : 理 性 的 澄 澈 与 透 明 陈 汉 散 文 评 析 康 俊 平 ( 许 昌 职 业 技 术 学 院 人 文 艺 术 教 育 系, 河 南 许 昌

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

untitled

untitled ...1... 1...2... 2... 3... 4... 5...6... 6... 7... 8... 9...11...11... 12... 12...13... 13 ... 13... 14... 15... 16... 18... 19... 20... 20... 21... 22... 22... 23... 23...24... 24... 25... 25... 26...

More information

<4D F736F F F696E74202D20B8B4BCFE20B5DAC1F9BDB C9E8BCC6>

<4D F736F F F696E74202D20B8B4BCFE20B5DAC1F9BDB C9E8BCC6> Framewor: IIR DF 设计 Part3 数字信号处理面向专业 : 自动化系授课教师 : 刘剑毅 数字指标模拟指标 幅度平方准则 模拟低通滤波器 巴特沃斯切比雪夫 冲激响应不变法 双线性变换法 数字低通滤波器 实现步骤 : 确定数字滤波器的技术指标 : 通带截止频率 ω 通带衰减 δ 阻带截止频率 ω 阻带衰减 将数字滤波器的技术指标转变成模拟滤波器的技术指 标 冲激响应不变法 通带截止频率

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

第三章思考题

第三章思考题 01. 0. 04. 05. 0. 07. 08. 09.. 11. 1. 13. -1 0 1 14. 15. 1. 17. 18. 0.. 3. 4. 5.. 7. 8. 9. 30. 31. 3.4(c 3.7(a 3.8 58 3. 33. 34. 3.9 35. 3. IRA IRA? 37. 38. 39. 40. 41. 4. 43. 44. 45. 47. 48. 49. B M 50.

More information

Microsoft PowerPoint - STU_EC_Ch12_new.ppt

Microsoft PowerPoint - STU_EC_Ch12_new.ppt 樹德科技大學資訊工程系 Chapter 1: Signal Interfacing and Processing Shi-Huang Chen Fall 010 1 Outline Digital Signal Processing Basics Converting Analog Signals to Digital Analog-to-Digital Conversion (ADC) Methods

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

¬¬

¬¬ 2 年 第 9 周 2.2.2-2.2.27 26 年 第 7 周 : 受 春 节 影 响, 一 二 级 市 场 无 供 应 成 交 26 年 第 7 周 (26 年 2 月 8 日 26 年 2 月 4 日 ) 哈 尔 滨 市 无 土 地 供 应 26 年 第 7 周 (26 年 2 月 8 日 26 年 2 月 4 日 ) 哈 尔 滨 市 无 土 地 成 交 26 年 第 7 周 (26 年 2

More information

2 A-5VL ( ) 15 Onkyo A-5VL A-5VL Ct

2 A-5VL ( ) 15 Onkyo A-5VL A-5VL Ct 2 A-5VL 3 4 5 10 ( ) 15 Onkyo A-5VL A-5VL 17 18 Ct 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. S3125A 14. 15. A. B. C. D. E. F. 16. 17. 18. 2 0c m 8" 10cm 4" 10cm 4" Ct-2 1. 2. Onkyo 3. 4. AC120V60Hz AC220-240V50/60Hz

More information

Microsoft PowerPoint - 第八讲-12.pptx

Microsoft PowerPoint - 第八讲-12.pptx 4-6-8 三 随机信号的相干函数 两个随机信号 和 的相干函数定义为 : 若 和 为一线性系统的输入与输出, 则有 : 5 若 和 不相关 = = 相干函数在频率域表征两个随机信号各频率成份的互相关联程度 相干函数大于 而小于 存在两种情况 : 5 相干函数大于 而小于, 存在两种情况 : 连续 和 的系统是非线性的 测量值中含有噪声, 即 和 是信号和噪声的叠加不相关与噪声信号不相关与噪声信号,,

More information

目录 ADC 噪声系数 一个经常被误解的参数...1 ADC 架构 I:Flash 转换器...10 ADC 架构 II: 逐次逼近型 ADC...25 ADC 架构 III: - 型 ADC 基础...39 ADC 架构 IV:Σ-Δ 型 ADC 高级概念和应用...51 ADC 架构 V: 流水

目录 ADC 噪声系数 一个经常被误解的参数...1 ADC 架构 I:Flash 转换器...10 ADC 架构 II: 逐次逼近型 ADC...25 ADC 架构 III: - 型 ADC 基础...39 ADC 架构 IV:Σ-Δ 型 ADC 高级概念和应用...51 ADC 架构 V: 流水 ADI 技术指南合集 第一版 模数转换器 目录 ADC 噪声系数 一个经常被误解的参数...1 ADC 架构 I:Flash 转换器...10 ADC 架构 II: 逐次逼近型 ADC...25 ADC 架构 III: - 型 ADC 基础...39 ADC 架构 IV:Σ-Δ 型 ADC 高级概念和应用...51 ADC 架构 V: 流水线式分级 ADC...61 ADC 架构 VII: 计数 ADC...76

More information

D/A CONVERTER DA3N USB SOUND SYSTEM OPT1 POWER USB1 INPUT VOLUME HEADPHONE DIGITAL TO ANALOG CONVERTER DA3N

D/A CONVERTER DA3N USB SOUND SYSTEM OPT1 POWER USB1 INPUT VOLUME HEADPHONE DIGITAL TO ANALOG CONVERTER DA3N D/A CONVERTER DA3N USB SOUND SYSTEM OPT1 POWER USB1 INPUT VOLUME HEADPHONE DIGITAL TO ANALOG CONVERTER DA3N D/A CONVERTER DA3N 2 2 3 6 7 8 10 11 12 13 17 18 20 21 23 CEC D/A DA3N DA3N CD D/A SUPERLINK

More information

Microsoft Word - A doc

Microsoft Word - A doc 基于 TMS320VC5416 的 FIR 数字滤波器设计与实现 黄道斌, 朱同武汉理工大学信息工程学院, 武汉 (430070) E-mail:huangdaobin@126.com 摘要 : 数字滤波器在语音处理 图象处理 模式识别以及各种随机信号分析中有着广泛的应用 本文研究了有限冲击响应 (FIR) 滤波器的基本原理以及如何在 TMS320VC5416 DSP 芯片上设计实现连续 FIR 数字滤波器

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

SPS-AN-HarmonicPerformance-c-0001

SPS-AN-HarmonicPerformance-c-0001 四象限放大器 PAS 5 谐波性能演示 相关标准 : IEC/EN 6-3-2 IEC/EN 6-4-7 应用领域 IEC/EN 6-3-2 标准规定了在正常的操作状态下的指定试验条件下设备输入电流可能产生的 4 次谐波以下的谐波分量的限值, 本标准适用于准备接入到公共低压供电系统的每相输入电流不大于 6A 的电气和电子设备 对负载条件下的电压源的要求在本标准的附录 A.2 中给出 附录 A.2 中的

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

IONEER 10 IONEER SC-LX90 10 ICEpower 2 THX 9.2 VSX-AX10 THX Ultra 2 lus 7.1 MCACC THX AV ITU-R Dolby THX W 10 8 RMS 200W 7 8 RMS5Hz 100kHz

IONEER 10 IONEER SC-LX90 10 ICEpower 2 THX 9.2 VSX-AX10 THX Ultra 2 lus 7.1 MCACC THX AV ITU-R Dolby THX W 10 8 RMS 200W 7 8 RMS5Hz 100kHz AIR STUDIOS IONEER SC-LX90 94 2003 2 1 154 IONEER VSX-AX10i AV WM B&O ICEpower ioneer HD Audio IONEER SC-LX90 ICEpower LCM dts-hd MA Dolby TrueHD SC-LX90 IONEER Excellence EX IONEER AV SC-LX90 IONEER 10

More information

标题

标题 第 39 卷 增 刊 物 探 与 化 探 Vol.39,Supp. 2015 年 12 月 GEOPHYSICAL & GEOCHEMICAL EXPLORATION Dec.,2015 doi: 10.11720 / wtyht.2015.s1.03 高 巍, 徐 修 明, 尹 航. 一 种 海 洋 重 力 测 量 信 号 滤 波 方 法 的 研 究 [J]. 物 探 与 化 探,2015,39(S1):12-16.http:

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

聚洵半导体产品介绍 [兼容模式]

聚洵半导体产品介绍 [兼容模式] 高性能模拟及混合信号芯片 Gainsil. The Signal 目录 01 公司简介 02 产品 Roadmap 03 产品介绍 公司简介 聚洵聚洵半导体科技 ( 上海 ) 有限公司 ( 简称聚洵 Gainsil) 座落在中国 硅谷 之称的张江高科技园区 是一家专注于高性能 高品质模拟和混合信号集成电路研发和销售管理的高科技公司 公司产品广泛应用于 : 通讯网络, 消费电子, 多媒体, 工业自动控制,

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

Microsoft PowerPoint - ch6 [兼容模式]

Microsoft PowerPoint - ch6 [兼容模式] 第 6 章无限长单位脉冲 响应 (IIR 滤波器设计 王柯俨 kywng@mil.xidin.edu.cn htt://web.xidin.edu.cn/kywng/tech.html d /k /t h 数字滤波器的分类 经典滤波器 ( 一般滤波器 : 信号和干扰的频带互不重叠时采用 现代滤波器 : 功能 结构 信号和干扰的频带相互重叠时采用 ( 例如 : 维纳滤波器 卡尔曼 滤波器 自适应滤波器等

More information

2 1 = 1 2 AOB AOB = 2 2 AB CD CD AB O AB CD O AOC = BOC = 1 2 AOB AOC = BOC = 1 2 AOB OA = OB = = AOC BOD SAS = OA = OB = 1 2 c = a + b - 1 2 4 ab = a + b 2 2 2 2 1.

More information

类脑计算(神经形态计算)

类脑计算(神经形态计算) 复习 数字滤波器从功能上可分为低通 高通 带通 带阻 理想滤波器的频率响应 数字滤波器的系统函数与冲激响应 3 IIR 滤波器 ( ) 4 第 8 章 IIR 数字滤波器设计 5 8. -z 变换设计 从 S 平面映射到 Z 平面三种常用的方法 : 冲激响应不变法: 从时域的角度出发进行映射 ; 双线性不变法: 从频域角度出发进行映射 ; 3 匹配 z 变换法 : 频域直接映射 6 4 ( 注意 :

More information

高等数学A

高等数学A 高等数学 A March 3, 2019 () 高等数学 A March 3, 2019 1 / 55 目录 1 函数 三要素 图像 2 导数 导数的定义 基本导数表 求导公式 Taylor 展开 3 积分 Newton-Leibniz 公式 () 高等数学 A March 3, 2019 2 / 55 函数 y = f(x) 函数三要素 1 定义域 2 值域 3 对应关系 () 高等数学 A March

More information

陈文利简历

陈文利简历 第 6 卷 第 1-2 期 许 昌 职 业 技 术 学 院 学 报 2010 年 6 月 Journal of Xuchang Vocational Technical College Vol.6 No.1-2 June.2010 许 昌 书 画 名 家 寻 求 至 美 的 境 界 : 解 读 陈 文 利 的 花 鸟 世 界 刘 庆 庆 与 八 年 前 一 年 好 景 在 第 九 届 全 国 美 展

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

,, [8 ] (p. 666),, [8 ] (p. 544), (1643 ), 30,,,,,,,,,,,,,,,,,,,,,,,, [9 ] (),, [8 ] (p. 306),,, [8 ] (p. 1052),, [8 ] (p. 1070),,,,, (1640 ),, [10 ]

,, [8 ] (p. 666),, [8 ] (p. 544), (1643 ), 30,,,,,,,,,,,,,,,,,,,,,,,, [9 ] (),, [8 ] (p. 306),,, [8 ] (p. 1052),, [8 ] (p. 1070),,,,, (1640 ),, [10 ] 2010 1 (219 ) THE NORTHERN FORUM No11, 2010 Total No1219 (, 100871) [ ],,,,,,,,,, [ ] ; ; [] I206 [] A [] 1000-3541 (2010) 01-0065 - 06,, :, : :,,, : :,,,,, :, :,,, [1 ] (),,, (, ),,,,,,,, (1634 ),,,,,

More information

第九章

第九章 2.5 Internet 3G 9.1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 1 2 4 9 9.2 GSMCDMA3G 1G GSMTDMA 2G 2.5 CDMA 3G GSM GSM900MHz GSM1800MHz GSM1900MHz CDMA Code

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

在地

在地 http://www.elecan.com 电子发烧友 http://bb.elecan.com 电子技术论坛 第 卷第 期 电子科技大学学报 Vol. o. 年 8 月 Journal o UEST o China Aug. 学术论文与技术报告 * 多带通信号采样的信噪比分析 **, 黄勇 栾心芙 肖先赐 (. 电子科技大学, 深圳市博士后工作站中兴通讯分站深圳 58;. 深圳市中兴通讯设备公司深圳

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information